ISCAS 2008:
Seattle,
Washington,
USA
International Symposium on Circuits and Systems (ISCAS 2008), 18-21 May 2008, Sheraton Seattle Hotel, Seattle, Washington, USA.
IEEE 2008 BibTeX
Data Converters I
- Andrea Agnes, Edoardo Bonizzoni, Franco Maloberti:
Design of an ultra-low power SA-ADC with medium/high resolution and speed.
1-4
Electronic Edition (link) BibTeX
- He Gong Wei, U. Fat Chio, Sai-Weng Sin, Seng-Pan U., Rui Paulo Martins:
A power scalable 6-bit 1.2GS/s flash ADC with power on/off Track-and-Hold and preamplifier.
5-8
Electronic Edition (link) BibTeX
- Hee-Cheol Choi, Young-Ju Kim, Se-Won Lee, Jae-Yeol Han, Oh-Bong Kwon, Younglok Kim, Seung-Hoon Lee:
A 52mW 0.56mm2 1.2V 12b 120MS/s SHA-Free dual-channel Nyquist ADC based on mid-code calibration.
9-12
Electronic Edition (link) BibTeX
- Sedigheh Hashemi, Omid Shoaei:
A 0.9V 10-bit 100 MS/s switched-RC pipelined ADC without using a front-end S/H in 90nm CMOS.
13-16
Electronic Edition (link) BibTeX
- Manar El-Chammas, Boris Murmann:
General analysis on the impact of phase-skew in time-interleaved ADCs.
17-20
Electronic Edition (link) BibTeX
Video Processing Circuits
- Chong-Yu Huang, Lien-Fei Chen, Yeong-Kang Lai:
A high-speed 2-D transform architecture with unique kernel for multi-standard video applications.
21-24
Electronic Edition (link) BibTeX
- Bruno Zatt, Altamiro Amadeu Susin, Sergio Bampi, Luciano Volcan Agostini:
HP422-MoCHA: A H.264/AVC High Profile motion compensation architecture for HDTV.
25-28
Electronic Edition (link) BibTeX
- Yi-Hau Chen, Tzu-Der Chuang, Yu-Han Chen, Chen-Han Tsai, Liang-Gee Chen:
Frame-parallel design strategy for high definition B-frame H.264/AVC encoder.
29-32
Electronic Edition (link) BibTeX
- WonHee Son, In-Cheol Park:
Prediction-based real-time CABAC decoder for high definition H.264/AVC.
33-36
Electronic Edition (link) BibTeX
- X. H. Tian, Thinh M. Le, X. Jiang, Yong Lian:
A HW CABAC encoder with efficient context access scheme for H.264/AVC.
37-40
Electronic Edition (link) BibTeX
Adaptive Algorithms & Systems
Amplifiers I
- Sushmit Goswami, Tino Copani, Bert Vermeire, Hugh Bamaby:
BW extension in shunt feedback transimpedance amplifiers using negative miller capacitance.
61-64
Electronic Edition (link) BibTeX
- Maria Teresa Sanz, Jose Maria Garcia del Pozo, Santiago Celma, Juan Pablo Alegre, Arturo Sarmiento:
Tunable transimpedance amplifiers with constant bandwidth for optical communications.
65-68
Electronic Edition (link) BibTeX
- Bradley A. Minch:
A simple class-AB transconductor in CMOS.
69-72
Electronic Edition (link) BibTeX
- Clara Isabel Lujan-Martinez, Antonio B. Torralba, Ramón González Carvajal, Jaime Ramírez-Angulo, Antonio J. López-Martín:
A -72 dB @ 2 MHz IM3 CMOS tunable pseudo-differential transconductor.
73-76
Electronic Edition (link) BibTeX
- Kent D. Layton, Donald T. Corner, David J. Corner:
Bulk-driven gain-enhanced fully-differential amplifier for VT + 2Vdsat operation.
77-80
Electronic Edition (link) BibTeX
Configurable Radio Systems
Complex Networks Analysis & Applications
Blind Signal Processing for MIMO Systems
- Mitsuru Kawamoto, Yujiro Inouye, Kiyotaka Kohno:
Recently developed approaches for solving blind deconvolution of MIMO-IIR Systems: Super-exponential and eigenvector methods.
121-124
Electronic Edition (link) BibTeX
- Feng Wan, Wei-Ping Zhu, M. N. S. Swamy:
Semi-blind channel estimation of MIMO-OFDM systems with pulse shaping.
125-128
Electronic Edition (link) BibTeX
- Feng Wan, Wei-Ping Zhu, M. N. S. Swamy:
Perturbation analysis of subspace-based semi-blind MIMO channel estimation approaches.
129-132
Electronic Edition (link) BibTeX
- Weizhou Su, Qingqi Bi, Wei Xing Zheng, Shengli Xie:
Blind identification of MIMO channels with periodic precoders.
133-136
Electronic Edition (link) BibTeX
- Borching Su, Palghat P. Vaidyanathan:
Blind block synchronization algorithms in cyclic prefix systems.
137-140
Electronic Edition (link) BibTeX
Current-mode,
Analog & Mixed-Signal Circuits
- Massimo Alioto, Gaetano Palumbo:
Power-delay optimization in MCML tapered buffers.
141-144
Electronic Edition (link) BibTeX
- Armin Tajalli, Frank K. Gürkaynak, Yusuf Leblebici, Massimo Alioto, Elizabeth J. Brauer:
Improving the power-delay product in SCL circuits using source follower output stage.
145-148
Electronic Edition (link) BibTeX
- Santanu Sarkar, Ravi Sankar Prasad, Sanjoy Kumar Dey, Vinay Belde, Swapna Banerjee:
An 8-bit 1.8 V 500 MS/s CMOS DAC with a novel four-stage current steering architecture.
149-152
Electronic Edition (link) BibTeX
- Toru Fujimura, Shigetoshi Nakatake:
Transistor-level programmable MOS analog IC with body biasing.
153-156
Electronic Edition (link) BibTeX
- Hyun-Kyu Jeon, Hye-Ran Kim, Jung-Min Choi, Ju-Pyo Hong, Yong-Suk Kim, Hyung-Seog Oh, Dae-Keun Han, Lee-Sup Kim:
High speed serial interface for mobile LCD driver IC.
157-160
Electronic Edition (link) BibTeX
Nano-Sensors & Nano-technologies for Bio-medical Applications
- Jose Martinez-Quijada, Sazzadur Chowdhury:
A two-stator MEMS power generator for cardiac pacemakers.
161-164
Electronic Edition (link) BibTeX
- Somashekar Bangalore Prakash, Pamela Abshire:
A fully differential CMOS capacitance sensor design, testing and array architecture.
165-168
Electronic Edition (link) BibTeX
- Stephen Thornhill, Nathanael Wu, Zhengfei Wang, Qinwei Shi, Jie Chen:
Graphene nanoribbon field-effect transistors.
169-172
Electronic Edition (link) BibTeX
- Liwei Shang, Ming Liu, Sansiri Tanachutiwat, Wei Wang:
Analyzing mixed carbon nanotube bundles: A current density study.
173-176
Electronic Edition (link) BibTeX
- Rehman Ashraf, Malgorzata Chrzanowska-Jeske, Siva G. Narendra:
Carbon nanotube circuit design choices in the presence of metallic tubes.
177-180
Electronic Edition (link) BibTeX
Millimeter-wave & Optical Communications
- KaChun Kwok:
Bilateral design of mm-wave LNA and receiver front-end in 90nm CMOS.
181-184
Electronic Edition (link) BibTeX
- Yiling Zhang, Valencia Joyner, Ruida Yun, Sameer R. Sonkusale:
A 700Mbit/s CMOS capacitive feedback front-end amplifier with automatic gain control for broadband optical wireless links.
185-188
Electronic Edition (link) BibTeX
- Anthony Kopa, Alyssa B. Apsel:
124dB.Hz2/3 Dynamic range transimpedance amplifier for electronic-photonic channelizer.
189-192
Electronic Edition (link) BibTeX
- Paul C. P. Chen, Anand Pappu, Zhongtao Fu, Woradorn Wattanapanitch, Alyssa B. Apsel:
A 10 Gb/s optical receiver in 0.25 µm silicon-on-sapphire CMOS.
193-196
Electronic Edition (link) BibTeX
- Jingjing Liu, Grahame E. Faulkner, Steve Collins, Dominic C. O'Brien, S. J. Elston:
An optically powered, free space optical communications receiver.
197-200
Electronic Edition (link) BibTeX
Graph Theory & Computing
Data Converters II
- Bruno Esperanca, João Goes, Rui Tavares, Acacio Galhardo, Nuno F. Paulino, M. M. Silva:
Power-and-area efficient 14-bit 1.5 MSample/s two-stage algorithmic ADC based on a mismatch-insensitive MDAC.
220-223
Electronic Edition (link) BibTeX
- Zheng Yang, Jan Van der Spiegel:
A 10-bit 8.3MS/s switched-current successive approximation ADC for column-parallel imagers.
224-227
Electronic Edition (link) BibTeX
- Yongjian Tang, Hans Hegt, Arthur H. M. van Roermund:
Predictive timing error calibration technique for RF current-steering DACs.
228-231
Electronic Edition (link) BibTeX
- Michael Figueiredo, Nuno F. Paulino, Guiomar Evans, João Goes:
New simple digital self-calibration technique for pipeline ADCs using the internal thermal noise.
232-235
Electronic Edition (link) BibTeX
- Jeong-Sup Lee, In-Cheol Park:
Capacitor array structure and switch control for energy-efficient SAR analog-to-digital converters.
236-239
Electronic Edition (link) BibTeX
Coding,
Crypto & Imaging Circuits
Image & Video Processing I
Amplifiers II
- Chung-Wei Lin, Yung-Ping Lee, Wen-Tsao Chen:
A 1.5 bit 5th order CT/DT delta sigma class D amplifier with power efficiency improvement.
280-283
Electronic Edition (link) BibTeX
- Tong Ge, Joseph Sylvester Chang, Wei Shu:
PSRR of bridge-tied load PWM Class D Amps.
284-287
Electronic Edition (link) BibTeX
- Jaime Ramírez-Angulo, Ramón González Carvajal, Antonio J. López-Martín:
High slew rate two stage A/AB and AB/AB op-amps with phase lead compensation at output node and local common mode feedback.
288-291
Electronic Edition (link) BibTeX
- Luci Acosta-Cabanillas, Ramón González Carvajal, Jaime Ramírez-Angulo, Antonio J. López-Martín:
A simple approach for the implementation of CMOS amplifiers with constant bandwidth independent of gain.
292-295
Electronic Edition (link) BibTeX
- Majid Jalalifar, Mohammad Yavari, Farshid Raissi:
A novel topology in RNMC amplifiers with single miller compensation capacitor.
296-299
Electronic Edition (link) BibTeX
MIMO Communications Systems
- Christoph Studer, Peter Luethi, Wolfgang Fichtner:
VLSI architecture for data-reduced steering matrix feedback in MIMO systems.
300-303
Electronic Edition (link) BibTeX
- Christian Senning, Christoph Studer, Peter Luethi, Wolfgang Fichtner:
Hardware-efficient steering matrix computation architecture for MIMO communication systems.
304-307
Electronic Edition (link) BibTeX
- Amirhossein Alimohammad, Saeed Fouladi Fard, Bruce F. Cockburn, Christian Schlegel:
A single-FPGA multipath MIMO fading channel simulator.
308-311
Electronic Edition (link) BibTeX
- Yongmei Dai, Zhiyuan Yan:
A modified MMSE-SD soft detector for coded MIMO-OFDM systems.
312-315
Electronic Edition (link) BibTeX
- Mahdi Shabany, P. Glenn Gulak:
The application of lattice-reduction to the K-Best algorithm for near-optimal MIMO detection.
316-319
Electronic Edition (link) BibTeX
Applications of Nonlinear Dynamics
Advanced Neural Microsystems
- Gerald E. Loeb, Jack Wills:
General-pupose technology for a general-purpose nervous system.
340-343
Electronic Edition (link) BibTeX
- John G. Harris, Jose C. Principe, Justin C. Sanchez, Du Chen, Christy She:
Pulse-based signal compression for implanted neural recording systems.
344-347
Electronic Edition (link) BibTeX
- Wesley Santa, Randy Jensen, Keith Miesel, Dave Carlson, Al Avestruz, G. Molnar, T. Denison:
Radios for the brain? a practical micropower sensing and algorithm architecture for neurostimulators.
348-351
Electronic Edition (link) BibTeX
- Torsten Lehmann, Nigel H. Lovell, Gregg J. Suaning, Philip Preston, Yan T. Wong, Norbert Dommel, Louis H. Jung, Yashodhan Moghe, Kushal Das:
Implant electronics for intraocular epiretinal neuro-stimulators.
352-355
Electronic Edition (link) BibTeX
- Edgar A. Brown, James D. Ross, Richard A. Blum, Stephen P. DeWeerth:
Stimulation and recording of neural tissue, closing the loop on the artifact.
356-359
Electronic Edition (link) BibTeX
System on Chip
- Haytham Elmiligi, Ahmed A. Morgan, M. Watheq El-Kharashi, Fayez Gebali:
Power-aware topology optimization for networks-on-chips.
360-363
Electronic Edition (link) BibTeX
- Charles Thangaraj, Tom Chen:
Design target exploration for meeting time-to-market using pareto analysis.
364-367
Electronic Edition (link) BibTeX
- Omar Hammami, Zoukun Wang, Virginie Fresse, Dominique Houzet:
A quantitative evaluation of C-based synthesis on heterogeneous embedded systems design.
368-371
Electronic Edition (link) BibTeX
- Himanshu Kaul, Jae-sun Seo, Mark Anders, Dennis Sylvester, Ram Krishnamurthy:
A robust alternate repeater technique for high performance busses in the multi-core era.
372-375
Electronic Edition (link) BibTeX
- Emre Salman, Renatas Jakushokas, Eby G. Friedman, Radu M. Secareanu, Olin L. Hartin:
Input port reduction for efficient substrate extraction in large scale IC's.
376-379
Electronic Edition (link) BibTeX
Design for Variability in Nano-technologies & Giga-scale Systems
- Girish Varatkar, Sriram Narayanan, Naresh R. Shanbhag, Douglas L. Jones:
Variation-tolerant, low-power PN-code acquisition using stochastic sensor NOC.
380-383
Electronic Edition (link) BibTeX
- Saibal Mukhopadhyay, Rahul M. Rao, Jae-Joon Kim, Ching-Te Chuang:
Capacitive coupling based transient negative bit-line voltage (Tran-NBL) scheme for improving write-ability of SRAM design in nanometer technologies.
384-387
Electronic Edition (link) BibTeX
- Xuan Zhang, Anand Pappu, Alyssa B. Apsel:
Low variation current source for 90nm CMOS.
388-391
Electronic Edition (link) BibTeX
- Shreyas Sen, Abhijit Chatterjee:
Design of process variation tolerant radio frequency low noise amplifier.
392-395
Electronic Edition (link) BibTeX
- Eduardo Luis Rhod, Mateus B. Rutzig, Luigi Carro:
Binary translation process to optimize nanowire arrays usage.
396-399
Electronic Edition (link) BibTeX
Multimedia Analysis & Quality Assessment
- Guangtao Zhai, Weisi Lin, Jianfei Cai, Xiaokang Yang, Wenjun Zhang, Minoru Etoh:
Cross-dimensional quality assessment for low bitrate video.
400-403
Electronic Edition (link) BibTeX
- Huijuan Yang, Alex C. Kot:
Backward-forward distortion minimization for binary images data hiding.
404-407
Electronic Edition (link) BibTeX
- Susu Yao, Ee Ping Ong, Mei Hwan Loke:
Peceptual distortion metric based on wavelet frequency sensitivity and multiple visual fixations.
408-411
Electronic Edition (link) BibTeX
- Min-Jen Tsai, Chen-Sheng Wang:
Adaptive feature selection for digital camera source identification.
412-415
Electronic Edition (link) BibTeX
- Amaro A. de Lima, Fabio P. Freeland, Rafael A. de Jesus, Bruno C. Bispo, Luiz W. P. Biscainho, Sergio L. Netto, Amir Said, Antonius A. C. M. Kalker, Ronald Schafer, Bowon Lee, Mehrban Jam:
On the quality assessment of sound signals.
416-419
Electronic Edition (link) BibTeX
Spiking Neural Networks
- Jonathan Tapson, Mark P. Vismer, Craig T. Jin, André van Schaik, Fopefolu O. Folowosele, Ralph Etienne-Cummings:
A two-neuron cross-correlation circuit with a wide and continuous range of time delay.
420-423
Electronic Edition (link) BibTeX
- Zhengming Fu, Eugenio Culurciello, Patrick Lichtsteiner, Tovi Delbruck:
Fall detection using an address-event temporal contrast vision sensor.
424-427
Electronic Edition (link) BibTeX
- Arindam Basu, Csaba Petre, Paul E. Hasler:
Bifurcations in a silicon neuron.
428-431
Electronic Edition (link) BibTeX
- Stephen Brink, Scott Koziol, Shubha Ramakrishnan, Paul E. Hasler:
A biophysically based dendrite model using programmable floating-gate devices.
432-435
Electronic Edition (link) BibTeX
- Jie Xu, John G. Harris:
The time derivative neuron.
436-439
Electronic Edition (link) BibTeX
Wireless Circuits and Systems I
- Jagdish Nayayan Pandey, Bharadwaj Amrutur, Sudhir S. Kudva:
Quadrature generation techniques for frequency multiplication based oscillators.
440-443
Electronic Edition (link) BibTeX
- Li Ke, Reuben Wilcock, Peter Wilson:
Improved 6.7GHz CMOS VCO delay cell with up to seven octave tuning range.
444-447
Electronic Edition (link) BibTeX
- Zhenyu Yang, Zhangwen Tang, Hao Min:
A fully differential charge pump with accurate current matching and rail-to-rail common-mode feedback circuit.
448-451
Electronic Edition (link) BibTeX
- Jeongwon Cha, Minsik Ahn, Changhyuk Cho, Chang-Ho Lee, Joy Laskar:
A charge-pump based 0.35µm CMOS RF switch driver for multi-standard operations.
452-455
Electronic Edition (link) BibTeX
- Daryl Van Vorst, Shahriar Mirabbasi:
Low-voltage bulk-driven mixer with on-chip balun.
456-459
Electronic Edition (link) BibTeX
Arithmetic & Cryptography Circuits
- Fatemeh Kashfi, Sied Mehdi Fakhraie, Saeed Safari:
A 65nm 10GHz pipelined MAC structure.
460-463
Electronic Edition (link) BibTeX
- Wen-Ching Lin, Jun-Hong Chen, Ming-Der Shieh:
A new look-up table-based multiplier/squarer design for cryptosystems over GF(2m).
464-467
Electronic Edition (link) BibTeX
- Shen-Fu Hsiao, Ping-Chung Wei, Ching-Pin Lin:
An automatic hardware generator for special arithmetic functions using various ROM-based approximation approaches.
468-471
Electronic Edition (link) BibTeX
- Davide De Caro, Nicola Petra, Antonio G. M. Strollo:
A high performance floating-point special function unit using constrained piecewise quadratic approximation.
472-475
Electronic Edition (link) BibTeX
- Yan Wang, Chen Shoushun, Amine Bermak:
Novel VLSI implementation of Peano-Hilbert curve address generator.
476-479
Electronic Edition (link) BibTeX
Architectures for Image and Video Processing
- Chung-Chi Lin, Ming-Hwa Sheu, Huann-Keng Chiang, Chishyan Liaw, Zeng-chuan Wu:
The efficient VLSI design of BI-CUBIC convolution interpolation for digital image processing.
480-483
Electronic Edition (link) BibTeX
- Yongseok Yi, Byung Cheol Song:
A novel CAVLC architecture for H.264 Video encoding at high bit-rate.
484-487
Electronic Edition (link) BibTeX
- Arnaldo Azevedo, Cor Meenderinck, Ben H. H. Juurlink, Mauricio Alvarez, Alex Ramírez:
Analysis of video filtering on the cell processor.
488-491
Electronic Edition (link) BibTeX
- Jiaying Liu, Zongming Guo:
Efficient intra-4×4 mode decision based on bit-rate estimation in H.264/AVC.
492-495
Electronic Edition (link) BibTeX
- Chun-Hung Liu, Oscar C. Au, Peter H. W. Wong, Man Cheung Kung, Shen Chang Chao:
Bit-depth expansion by adaptive filter.
496-499
Electronic Edition (link) BibTeX
CAD and Tools for Analog Design I
LDPC Codes
- Yeong-Luh Ueng, Chung-Jay Yang, Zong-Cheng Wu, Chen-Eng Wu, Yu-Lun Wang:
VLSI decoding architecture with improved convergence speed and reduced decoding latency for irregular LDPC codes in WiMAX.
520-523
Electronic Edition (link) BibTeX
- Tzu-Chieh Kuo, Alan N. Willson Jr.:
Enhanced delta-based layered decoding of WiMAX QC-LDPC codes.
524-527
Electronic Edition (link) BibTeX
- Shu-Cheng Chou, Mong-Kai Ku, Chia-Yu Lin:
Switching activity reducing layered decoding algorithm for LDPC codes.
528-531
Electronic Edition (link) BibTeX
- Marcos B. S. Tavares, Emil Matús, Steffen Kunze, Gerhard Fettweis:
A dual-core programmable decoder for LDPC convolutional codes.
532-535
Electronic Edition (link) BibTeX
- Sangmin Kim, Gerald E. Sobelman, Hanho Lee:
Adaptive quantization in min-sum based irregular LDPC decoder.
536-539
Electronic Edition (link) BibTeX
Nonlinear Systems Analysis
- Roberto Frasca, M. Kanat Camlibel, Izzet Cem Göknar, Luigi Iannelli, Francesco Vasca:
State discontinuity analysis of linear switched systems via energy function optimization.
540-543
Electronic Edition (link) BibTeX
- Mark M. Gourary, Sergey G. Rusakov, Sergey L. Ulyanov, Michael M. Zharov, Brian J. Mulvaney, Kiran K. Gullapalli:
Injection locking conditions under small periodic excitations.
544-547
Electronic Edition (link) BibTeX
- Riccardo Rovatti, Gianluca Mazzini, Gianluca Setti, Stefano Vitali:
Linear probability feedback processes.
548-551
Electronic Edition (link) BibTeX
- Mario di Bernardo, Alessandro di Gaeta, Umberto Montanaro, Stefania Santini:
A comparative study of the new LQ-MCS control on an automotive electro-mechanical system.
552-555
Electronic Edition (link) BibTeX
- Abdulmajed Elbkosh, Damian Giaouris, Volker Pickert, Bashar Zahawi, S. Banerjee:
Stability analysis and control of bifurcations of parallel connected DC/DC converters using the monodromy matrix.
556-559
Electronic Edition (link) BibTeX
Digitally Enhanced Analog Circuits:
Systems Aspects
- Boris Murmann, Christian Vogel, Heinz Koeppl:
Digitally enhanced analog circuits: System aspects.
560-563
Electronic Edition (link) BibTeX
- Bumman Kim, Jangheon Kim, Jinsung Choi, Ildu Kim:
Performance enhancement of linear power amplifier employing digital technique.
564-567
Electronic Edition (link) BibTeX
- Khurram Waheed, Robert B. Staszewski:
Mitigation of CMOS device variability in the transmitter amplitude path using Digital RF Processing.
568-571
Electronic Edition (link) BibTeX
- Martin Hasler, Gernot Kubin:
Mixed-domain system representation using Volterra series.
572-575
Electronic Edition (link) BibTeX
- Guillaume Ferré, Maher Jridi, Lilian Bossuet, Bertrand Le Gal, Dominique Dallet:
A new orthogonal online digital calibration for time-interleaved analog-to-digital converters.
576-579
Electronic Edition (link) BibTeX
Process Variations,
Memory & Flip-Flops
- Jungseob Lee, Lin Xie, Azadeh Davoodi:
A Dual-Vt low leakage SRAM array robust to process variations.
580-583
Electronic Edition (link) BibTeX
- Michael Wieckowski, Martin Margala:
A portless SRAM Cell using stunted wordline drivers.
584-587
Electronic Edition (link) BibTeX
- Chul Soo Kim, Joo-Seong Kim, Bai-Sun Kong, Moon Yongsam, Young-Hyun Jun:
Presetting pulse-based flip-flop.
588-591
Electronic Edition (link) BibTeX
- Saleh Abdel-Hafeez, Shadi M. Harb, William R. Eisenstadt:
High speed digital CMOS divide-by-N fequency divider.
592-595
Electronic Edition (link) BibTeX
- Daniel Iparraguirre-Cardenas, Jose Luis Garcia-Gervacio, Víctor H. Champac:
A design methodology for logic paths tolerant to local intra-die variations.
596-599
Electronic Edition (link) BibTeX
Nano-Devices,
Nano-Circuits & Nano-Architectures
- Venketeshwaran Puthucode, Chunhong Chen:
An experimental study on multi-island structures for single-electron tunneling based threshold logic.
600-603
Electronic Edition (link) BibTeX
- Juan Núñez, José M. Quintana, Maria J. Avedillo:
Limits to a correct operation in RTD-based ternary inverters.
604-607
Electronic Edition (link) BibTeX
- Huifei Rao, Jie Chen, V. H. Zhao, Woon Tiong Ang, I-Chyn Wey, An-Yeu Wu:
An efficient methodology to evaluate nanoscale circuit fault-tolerance performance based on belief propagation.
608-611
Electronic Edition (link) BibTeX
- Tung-Han Tsai, Chin-Lin Chen, Ching-Li Lee, Chua-Chin Wang:
Power-saving nano-scale DRAMs with an adaptive refreshing clock generator.
612-615
Electronic Edition (link) BibTeX
- Themistoklis Prodromakis, Christos Papavassiliou, Kostis Michelakis:
Microstrip stepped impedance lowpass filters based on the maxwell-wagner polarization mechanism.
616-619
Electronic Edition (link) BibTeX
Encoder Optimization
- Wei Yao, Zhengguo Li, Susanto Rahardja:
Early detection of all-zero block in H.264 with new rate-quantization models.
620-623
Electronic Edition (link) BibTeX
- Yifu Zhang, Shunliang Mei, Quqing Chen, Zhibo Chen:
A fast adaptive quantization matrix selection method in H.264/AVC.
624-627
Electronic Edition (link) BibTeX
- Jianpeng Dong, Nam Ling:
A model parameter and MAD prediction scheme for h.264 macroblock layer rate control.
628-631
Electronic Edition (link) BibTeX
- Wei-Cheng Lin, Chung-Ho Chen:
Avoiding unnecessary frame memory access and multi-frame motion estimation computation in H.264/AVC.
632-635
Electronic Edition (link) BibTeX
- Jian Lou, Shan Liu, Anthony Vetro, Ming-Ting Sun:
Complexity and memory efficient GOP structures supporting VCR functionalities in H.264/AVC.
636-639
Electronic Edition (link) BibTeX
Event-based Neuromorphic Systems
Wireless Circuits and Systems II
- Mohammad B. Vahidfar, Omid Shoaei:
A CMOS high IIP2 mixer for multi-standard receivers.
656-659
Electronic Edition (link) BibTeX
- Sung-Jin Kim, Min-Chang Cho, Joonhyun Park, Kisuk Song, Yul Kim, SeongHwan Cho:
An ultra low power UHF RFID tag front-end for EPCglobal Gen2 with novel clock-free decoder.
660-663
Electronic Edition (link) BibTeX
- Muhammad Anis, Reinhard Tielert, Norbert Wehn:
3.1-to-7GHz UWB impulse radio transceiver front-end based on statistical correlation technique.
664-667
Electronic Edition (link) BibTeX
- Pui-In Mak, Ka-Hou Ao Ieong, Rui Paulo Martins:
An open-source-input, ultra-wideband LNA with mixed-voltage ESD protection for full-band (170-to-1700 MHz) mobile TV tuners.
668-671
Electronic Edition (link) BibTeX
- Luca Antonio De Michele, Wouter A. Serdijn, Gianluca Setti:
A UWB CMOS 0.13µm low-noise amplifier with dual loop negative feedback.
672-675
Electronic Edition (link) BibTeX
Arithmetic Circuits
- Kenny Johansson, Oscar Gustafsson, Lars Wanhammar:
Switching activity estimation for shift-and-add based constant multipliers.
676-679
Electronic Edition (link) BibTeX
- Jun-Hong Chen, Wen-Ching Lin, Hao-Hsuan Wu, Ming-Der Shieh:
High-speed modular multiplication design for public-key cryptosystems.
680-683
Electronic Edition (link) BibTeX
- Erdal Oruklu, Vibhuti B. Dave, Jafar Saniie:
Performance analysis of flagged prefix adders with logical effort.
684-687
Electronic Edition (link) BibTeX
- Dongdong Chen, Younhee Choi, Li Chen, Daniel Teng, Khan Wahid, Seok-Bum Ko:
A novel decimal-to-decimal logarithmic converter.
688-691
Electronic Edition (link) BibTeX
- Ioannis Kouretas, Charalambos Basetas, Vassilis Paliouras:
Low-power logarithmic number system addition/subtraction and their impact on digital filters.
692-695
Electronic Edition (link) BibTeX
Image Filtering
- Arjuna Madanayake, Leonard T. Bruton:
Selective enhancement of space-time broadband spiral-waves using 2D IIR digital filters.
696-699
Electronic Edition (link) BibTeX
- I-Hung Khoo, Hari C. Reddy, P. K. Rajan:
Efficient design of delta operator based 2-D IIR filters using symmetrical decomposition.
700-703
Electronic Edition (link) BibTeX
- Dmytro Rusanovskyy, Kemal Ugur, Moncef Gabbouj, Jani Lainema:
Video coding with pixel-aligned directional adaptive interpolation filters.
704-707
Electronic Edition (link) BibTeX
- Guangtao Zhai, Jianfei Cai, Weisi Lin, Xiaokang Yang, Wenjun Zhang:
Image deringing using quadtree based block-shift filtering.
708-711
Electronic Edition (link) BibTeX
- S. M. Mahbubur Rahman, M. Omair Ahmad, M. N. S. Swamy:
Statistical detector for wavelet-based image watermarking using modified GH PDF.
712-715
Electronic Edition (link) BibTeX
CAD and Tools for Analog Design II
- Igor Vytyaz, David C. Lee, Un-Ku Moon, Kartikeya Mayaram:
Parameter variation analysis for voltage controlled oscillators in phase-locked loops.
716-719
Electronic Edition (link) BibTeX
- Masood ul-Hasan, Yichuang Sun, Xi Zhu, James Moritz:
Oscillation-based DFT for second-order OTA-C filters.
720-723
Electronic Edition (link) BibTeX
- Rui Santos-Tavares, Nuno F. Paulino, José Higino, João Goes, Joáo P. Oliveira:
Optimization of multi-stage amplifiers in deep-submicron CMOS using a distributed/parallel genetic algorithm.
724-727
Electronic Edition (link) BibTeX
- Michael M. Green, Marcelo B. Pisani, Catherine Dehollain:
Design methodology for CMOS distributed amplifiers.
728-731
Electronic Edition (link) BibTeX
- Amal Kumar Kundu, I. Kharagpur, Tathagato Rai Dastidar, Tarun Kanti Bhattacharyya, Partha Ray:
A methodology for efficient design of analog circuits using an automated simulation based synthesis tool.
732-735
Electronic Edition (link) BibTeX
Turbo,
Trellis & Iterative Decoders
- Cheng-Hung Lin, Chun-Yu Chen, An-Yeu Wu:
Low-power traceback MAP decoding for double-binary convolutional turbo decoder.
736-739
Electronic Edition (link) BibTeX
- Yang Liu, Fei Sun, Tong Zhang:
Energy-efficient soft-output trellis decoder design using trellis quasi-reduction and importance-aware clock skew scheduling.
740-743
Electronic Edition (link) BibTeX
- Silvia Solda, Daniele Vogrig, Andrea Bevilacqua, Andrea Gerosa, Andrea Neviani:
Analog decoding of trellis coded modulation for multi-level flash memories.
744-747
Electronic Edition (link) BibTeX
- Rafal Dhugosz, Vincent Gaudet:
Current-mode memory cell with power down phase for discrete time analog iterative decoders.
748-751
Electronic Edition (link) BibTeX
- Chih-Hao Liu, Chien-Ching Lin, Hsie-Chia Chang, Chen-Yi Lee, Yarsun Hsua:
Multi-mode message passing switch networks applied for QC-LDPC decoder.
752-755
Electronic Edition (link) BibTeX
Analysis of Chaotic Systems
- Selçuk Kilinç, Mustak E. Yalcin, Serdar Özoguz:
Synchronization of first-order time-delay systems generating n-scroll chaotic attractors.
756-759
Electronic Edition (link) BibTeX
- Tommaso Addabbo, Ada Fort, Santina Rocchi, Valerio Vignoli:
An efficient and accurate method for computing the invariant measure of piecewise affine chaotic maps.
760-763
Electronic Edition (link) BibTeX
- Zbigniew Galias, Warwick Tucker:
Rigorous study of short periodic orbits for the Lorenz system.
764-767
Electronic Edition (link) BibTeX
- Simin Yu, Wallace Kit-Sang Tang, Jinhu Lu, Guanrong Chen:
Multi-wing butterfly attractors from the modified Lorenz systems.
768-771
Electronic Edition (link) BibTeX
- Ying Liu, Wallace Kit-Sang Tang, Hong Sze Kwok:
Formulation and analysis of high-dimensional chaotic maps.
772-775
Electronic Edition (link) BibTeX
Advanced Nanoscale Integrated Circuit Technologies
Computational Blocks
- Bipul Chandra Paul, Shinobu Fujita, Masaki Okajima:
ROM based logic (RBL) design: High-performance and low-power adders.
796-799
Electronic Edition (link) BibTeX
- Takayuki Onishi, Takashi Sano, Koyo Nitta, Mitsuo Ikeda, Jiro Naganuma:
Multi-reference and multi-block-size motion estimation with flexible mode selection for professional 4: 2: 2 H.264/AVC encoder LSI.
800-803
Electronic Edition (link) BibTeX
- Tzu-Yuan Kuo, Jinn-Shyan Wang:
A low-voltage latch-adder based tree multiplier.
804-807
Electronic Edition (link) BibTeX
- Ravi Kumar Satzoda, Ramya Muralidharan, Chip-Hong Chang:
Programmable LSB-first and MSB-first modular multipliers for ECC in GF(2m).
808-811
Electronic Edition (link) BibTeX
- Tsung-Hsien Tsai, Nelson Yen-Chung Chang, Tian-Sheuan Chang:
Data reuse analysis of local stereo matching.
812-815
Electronic Edition (link) BibTeX
Reliability Issues in Nano-technology SOC & Applications
- Paolo Maffezzoni, Lorenzo Codecasa, Dario D'Amore, Mauro Santomauro:
Semi-implicit integration method for the time-domain simulation of thermal responses.
816-819
Electronic Edition (link) BibTeX
- Ming-Dou Ker, Tzu-Ming Wang, Hung-Tai Liao:
2xVDD-tolerant crystal oscillator circuit realized with 1xVDD CMOS devices without gate-oxide reliability issue.
820-823
Electronic Edition (link) BibTeX
- David Barnhart, Tanya Vladimirova, Martin Sweeting:
Design of self-powered wireless system-on-a-chip sensor nodes for hostile environments.
824-827
Electronic Edition (link) BibTeX
- Peter Glösekötter, Ulrich Greveler, Gilson I. Wirth:
Device degradation and resilient computing.
828-831
Electronic Edition (link) BibTeX
- Qiaoyan Yu, Paul Ampadu:
Adaptive error control for reliable systems-on-chip.
832-835
Electronic Edition (link) BibTeX
Picture Coding Hardware
- Ching-Yi Chen, Guan-Lin Wu, Shao-Yi Chien:
Hardware-oriented image inpainting for perceptual I-frame error concealment.
836-839
Electronic Edition (link) BibTeX
- Jianjun Li, Majid Ahamdi:
Realizing high throughput transforms of H.264/AVC.
840-843
Electronic Edition (link) BibTeX
- Yiqing Huang, Satoshi Goto, Takeshi Ikenaga:
VLSI friendly computation reduction scheme in H.264/AVC motion estimation.
844-847
Electronic Edition (link) BibTeX
- Yuichiro Murachi, Kusuke Mizuno, Junichi Miyakoshi, Masaki Hamamoto, Takahiro Iinuma, Tomokazu Ishihara, Fang Yin, Jangchung Lee, Tetsuya Kamino, Hiroshi Kawaguchi, Masahiko Yoshimoto:
A sub 100 mW H.264/AVC MP@L4.1 integer-pel motion estimation processor VLSI for MBAFF encoding.
848-851
Electronic Edition (link) BibTeX
- Chih-Hung Li, Wen-Hsiao Peng, Tihao Chiang:
A reconfigurable video embedding transcoder based on H.264/AVC: Design tradeoffs and analysis.
852-855
Electronic Edition (link) BibTeX
Neural Network Circuits & Systems
Analog Modeling & Simulation
Continuous-time Filters III
- Juan M. Carrillo, J. Francisco Duque-Carrillo, Guido Torelli:
1-V continuously tunable CMOS bulk-driven transconductor for Gm-C filters.
896-899
Electronic Edition (link) BibTeX
- Xi Zhu, Yichuang Sun, James Moritz:
A CMOS 750MHz fifth-order continuous-time linear phase lowpass filter with gain boost.
900-903
Electronic Edition (link) BibTeX
- Alexander J. Casson, Esther Rodríguez-Villegas:
An inverse filter realisation of a single scale Inverse continuous wavelet transform.
904-907
Electronic Edition (link) BibTeX
- Jordan D. Gray, Venkatesh Srinivasan, Ryan W. Robucci, Paul E. Hasler:
A floating-gate transistor based continuous-time analog adaptive filter.
908-911
Electronic Edition (link) BibTeX
- Trinidad Sanchez-Rodriguez, Clara Isabel Lujan-Martinez, Ramón González Carvajal, Jaime Ramírez-Angulo, Antonio J. López-Martín:
A CMOS linear tunable transconductor for continuous-time tunable Gm-C filters.
912-915
Electronic Edition (link) BibTeX
Wireless Circuits and Systems III
VLSI for Communications
Communications Architectures
- Laurent Boher, Rodrigue Rabineau, Maryline Hélard:
Analysis of CORDIC-based triangularization for MIMO MMSE filtering.
948-951
Electronic Edition (link) BibTeX
- T. K. Shahana, Babita R. Jose, Rekha K. James, K. Poulose Jacob, Sreela Sasi:
Dual-mode RNS based programmable decimation filter for WCDMA and WLANa.
952-955
Electronic Edition (link) BibTeX
- Li Qing, Xiaoyang Zeng, Wu Chuan, Zhang Yulong, Deng Yunsong, Jun Han:
Optimal frame synchronization for DVB-S2.
956-959
Electronic Edition (link) BibTeX
- Minhyeok Shin, Hanho Lee:
A high-speed four-parallel radix-24 FFT/IFFT processor for UWB applications.
960-963
Electronic Edition (link) BibTeX
Receiver Circuits
- Hong-Yu Yang, Yo-Sheng Lin, Chi-Chen Chen, S. S. Wong:
A low-power V-band CMOS low-noise amplifier using current-sharing technique.
964-967
Electronic Edition (link) BibTeX
- Baoyong Chi, Chun Zhang, Zhihua Wang:
Bandwidth extension for ultra-wideband CMOS low-noise amplifiers.
968-971
Electronic Edition (link) BibTeX
- Josef Zipper, Gernot Hueber, Andreas Holm:
A single-chip UMTS receiver with integrated digital frontend in 0.13 µm CMOS.
972-975
Electronic Edition (link) BibTeX
- Laura Moreno, Didac Gomez, Jose Luis Gonzalez, Diego Mateo, Xavier Aragonès, Roc Berenguer, Héctor Solar:
A low-power RF front-end for 2.5 GHz receivers.
976-979
Electronic Edition (link) BibTeX
- Chen-Yuan Chu, Chien-Cheng Wei, Hui-Chen Hsu, Shu-Hau Feng, Wu-Shiung Feng:
A 24GHz low-power CMOS receiver design.
980-983
Electronic Edition (link) BibTeX
VCOs for Wireless Communications
Algorithms & Architectures for Communications
- Quan Yuan, Haigang Yang, Fang-yuan Dong, Tao Yin:
"Time borrowing" technique for design of low-power high-speed multi-modulus prescaler in frequency synthesizer.
1004-1007
Electronic Edition (link) BibTeX
- Mohammed Berhea, Chunhong Chen, Q. M. Jonathan Wu:
Protocol-level performance analysis for anti-collision protocols in RFID systems.
1008-1011
Electronic Edition (link) BibTeX
- Yong-Je Goo, Hanho Lee:
Two bit-level pipelined viterbi decoder for high-performance UWB applications.
1012-1015
Electronic Edition (link) BibTeX
- Till Kuendiger, Fang Chen, Leonard MacEachern, Samy Mahmoud:
A novel digitally controlled low noise ring oscillator.
1016-1019
Electronic Edition (link) BibTeX
- Seong-Hyun Jang, Sang-Hun Yoon, Jong-Wha Chong:
A new packet detection algorithm for IEEE 802.15.4a DBO-CSS in AWGN channel.
1020-1023
Electronic Edition (link) BibTeX
Live Demonstration of Circuits & Systems I
- Liwei Guo, Oscar C. Au, Mengyao Ma, Peter H. W. Wong:
Video decoder embedded with temporal LMMSE denoising filter.
1024-1027
Electronic Edition (link) BibTeX
- Viktor Gruev, Jan Van der Spiegel, Nader Engheta:
Image sensor with focal plane polarization sensitivity.
1028-1031
Electronic Edition (link) BibTeX
- Raphael Berner, Patrick Lichtsteiner, Tobi Delbrück:
Self-timed vertacolor dichromatic vision sensor for low power pattern detection.
1032-1035
Electronic Edition (link) BibTeX
- Rico Moeckel, Roger Jaeggi, Shih-Chii Liu:
Steering with an aVLSI motion detection chip.
1036-1039
Electronic Edition (link) BibTeX
- Leonardo Gasparini, Marco De Nicola, Nicola Massari, Massimo Gottardi:
A micro-power asynchronous contrast-based vision sensor wakes-up on motion.
1040-1043
Electronic Edition (link) BibTeX
Live Demonstration of Circuits & Systems II
- Angel Jiménez-Fernandez, Rafael Paz-Vicente, Manuel Rivas, Alejandro Linares-Barranco, Gabriel Jiménez, Antón Civit:
AER-based robotic closed-loop control system.
1044-1047
Electronic Edition (link) BibTeX
- Garrick Orchard, Alexander Russell, Kevin Mazurek, Francesco Tenore, Ralph Etienne-Cummings:
Configuring silicon neural networks using genetic algorithms.
1048-1051
Electronic Edition (link) BibTeX
- Mel Ho, Pantelis Georgiou, Suket Singhal, Nick Oliver, Chris Toumazou:
A bio-inspired closed-loop insulin delivery based on the silicon pancreatic beta-cell.
1052-1055
Electronic Edition (link) BibTeX
- Rafael Paz-Vicente, Angel Jiménez-Fernandez, Alejandro Linares-Barranco, Gabriel Jiménez-Moreno, Francisco Gomez-Rodriguez, Lourdes Miro-Amarante, Anton Civit-Ballcels:
Image convolution using a probabilistic mapper on USB-AER board.
1056-1059
Electronic Edition (link) BibTeX
- John G. Harris, Jie Xu, Manu Rastogi, Alexander Singh-Alvarado, Vaibhav Garg, Jose C. Principe, Kalyana Vuppamandla:
Real time signal reconstruction from spikes on a digital signal processor.
1060-1063
Electronic Edition (link) BibTeX
Live Demonstration of Circuits & Systems III
- Zhengming Fu, Eugenio Culurciello:
A 1.2mW CMOS temporal-difference image sensor for sensor networks.
1064-1067
Electronic Edition (link) BibTeX
- Brian Ferguson, Jeff Kissinger, Vaibhav Vaidya, Denise Wilson, Karl Booksh, John Cranney, Bill Largen:
A novel refractometer architecture.
1068-1071
Electronic Edition (link) BibTeX
- Mostafa Mohamed, Brinda Prasad, Wael M. Badawy:
High throughput quantification system for egg populations in caenorhabditis elegans.
1072-1075
Electronic Edition (link) BibTeX
- Eric K. C. Tsang, Stanley Y. M. Lam, Yicong Meng, Bertram Emil Shi:
Neuromorphic implementation of active gaze and vergence control.
1076-1079
Electronic Edition (link) BibTeX
- Nicole M. Nelson, David Sander, Marc Dandin, Anshu Sarje, Somashekar Prakash, Honghao Ji, Pamela Abshire:
A handheld fluorometer for measuring cellular metabolism.
1080-1083
Electronic Edition (link) BibTeX
Live Demonstration of Circuits & Systems IV
Biomedical Sensors & Systems
- Chin-Teng Lin, Hong-Zhang Lin, Tzai-Wen Chiu, Chih-Feng Chao, Yu-Chieh Chen, Sheng-Fu Liang, Li-Wei Ko:
Distraction-related EEG dynamics in virtual reality driving simulation.
1088-1091
Electronic Edition (link) BibTeX
- Clyde Clarke, D. Carl White, Ralph Etienne-Cummings:
Finite element modeling of tissue for optimal ultrasonic transducer array design.
1092-1095
Electronic Edition (link) BibTeX
- Nizar Lajnef, Shantanu Chakrabartty, Niell Elvin:
Calibration and characterization of self-powered floating-gate sensor arrays for long-term fatigue monitoring.
1096-1099
Electronic Edition (link) BibTeX
- Marianna Beiderman, Terence Tam, Alexander Fish, Graham A. Jullien, Orly Yadid-Pecht:
A Low noise CMOS image sensor with an emission filter for fluorescence applications.
1100-1103
Electronic Edition (link) BibTeX
Biomedical Systems & Signal Processing
Digital Filters
Adaptive Filtering
Discrete Transforms
Digital Signal Processing
- Nari Tanabe, Toshihiro Furukawa, Hideaki Matsue, Shigeo Tsujii:
Kalman filter for robust noise suppression in white and colored noises.
1172-1175
Electronic Edition (link) BibTeX
- Shaohua Zhao, Shing Chow Chan:
A novel algorithm for mobile station location estimation with none line of sight error using robust least M-estimation.
1176-1179
Electronic Edition (link) BibTeX
- Gabriele Bunkheila, Raffaele Parisi, Aurelio Uncini:
Model order selection for estimation of Common Acoustical Poles.
1180-1183
Electronic Edition (link) BibTeX
- Yifan Wu, Behrouz Nowrouzian:
A novel technique for the design and DCGA optimization of bilinear-LDI lattice-based digital IF filters.
1184-1187
Electronic Edition (link) BibTeX
- Erkka Laulainen, Lauri Koskinen, Marko Kosunen, Kari Halonen:
Compass tilt compensation algorithm using CORDIC.
1188-1191
Electronic Edition (link) BibTeX
Digital Design & Test
- Yuko Hara, Hiroyuki Tomiyama, Shinya Honda, Hiroaki Takada, Katsuya Ishii:
CHStone: A benchmark program suite for practical C-based high-level synthesis.
1192-1195
Electronic Edition (link) BibTeX
- Rodrigo Jaramillo-Ramirez, Javid Jaffari, Mohab Anis:
Variability-aware design of subthreshold devices.
1196-1199
Electronic Edition (link) BibTeX
- Hsin-Hsiung Huang, Hui-Yu Huang, Yu-Cheng Lin, Tsai-Ming Hsieh:
Timing-driven obstacles-avoiding routing tree construction for a multiple-layer system.
1200-1203
Electronic Edition (link) BibTeX
- Chunchen Liu, Ruei-Xi Chen, Jichang Tan, Sharon Fan, Jeffrey Fan, Kia Makki:
Thermal aware clock synthesis considering stochastic variation and correlations.
1204-1207
Electronic Edition (link) BibTeX
Sigma-Delta Converters I
- Nitz Saputra, Michiel A. P. Pertijs, Kofi A. A. Makinwa, Johan H. Huijsing:
Sigma delta ADC with a dynamic reference for accurate temperature and voltage sensing.
1208-1211
Electronic Edition (link) BibTeX
- Omid Rajaee, Un-Ku Moon:
Enhanced multi-bit delta-sigma modulator with two-step pipeline quantizer.
1212-1215
Electronic Edition (link) BibTeX
- Nima Maghari, Un-Ku Moon:
Multi-loop efficient sturdy MASH delta-sigma modulators.
1216-1219
Electronic Edition (link) BibTeX
- Erkan Bilhan, Franco Maloberti:
A Wide-band 2-path cross-coupled sigma delta ADC.
1220-1223
Electronic Edition (link) BibTeX
- Hing-Kit Kwan, Siu-Hong Lui, Chi-Un Lei, Yansong Liu, Ngai Wong, Ka-Leung Ho:
Design of hybrid continuous-time discrete-time delta-sigma modulators.
1224-1227
Electronic Edition (link) BibTeX
Low Power Design Techniques
Digital Signal Processing for Communications
Circuit Theory
Wireless Communications Circuits I
- Saul Rodriguez, Ana Rusu, Li-Rong Zheng, Mohammed Ismail:
Digital calibration of gain and linearity in a CMOS RF mixer.
1288-1291
Electronic Edition (link) BibTeX
- Ming-Dou Ker, Chun-Yu Lin, Guo-Xuan Meng:
ESD protection design for fully integrated CMOS RF power amplifiers with waffle-structured SCR.
1292-1295
Electronic Edition (link) BibTeX
- Chao Lu, Olivier Charlon, Mark Bracey, Anh-Vu H. Pham:
Integrated balun design for dual-band WLAN a/b/g applications.
1296-1299
Electronic Edition (link) BibTeX
- Andrea Bevilacqua, Matteo Camponeschi, Marc Tiebout, Andrea Gerosa, Andrea Neviani:
Design of broadband inductorless LNAs in ultra-scaled CMOS technologies.
1300-1303
Electronic Edition (link) BibTeX
- Adrian Tang, Fei Yuan, Eddie Law:
A new WiMAX sigma-delta modulator with constant-Q active inductors.
1304-1307
Electronic Edition (link) BibTeX
Modeling & Simulation of Nonlinear Systems
Nonnegative Matrix & Tensor Factorization & Related Problems
Array Circuits
- Mingjie Lin, Steve Ferguson, Yaling Ma, Timothy Greene:
HAFT: A hybrid FPGA with amorphous and fault-tolerant architecture.
1348-1351
Electronic Edition (link) BibTeX
- Wu Jigang, Thambipillai Srikanthan, Kai Wang:
Finding minimum interconnect sub-arrays in reconfigurable VLSI arrays.
1352-1355
Electronic Edition (link) BibTeX
- Mayur Bubna, Naresh Shenoy, Santanu Chattopadhyay:
An efficient greedy approach to PLA folding.
1356-1359
Electronic Edition (link) BibTeX
- Scott Miller, Mihai Sima, Michael McGuire:
VLSI implementation of a shift-enabled reconfigurable array.
1360-1363
Electronic Edition (link) BibTeX
- Luiz Carlos Gouveia, Thomas Jacob Koickal, Alister Hamilton:
An asynchronous spike event coding scheme for programmable analog arrays.
1364-1367
Electronic Edition (link) BibTeX
Cellular Nonlinear Networks:
Theory & Applications
- Mauro Di Marco, Mauro Forti, Massimo Grazzini, Luca Pancioni:
A study on global robust stability of delayed full-range cellular neural networks.
1368-1371
Electronic Edition (link) BibTeX
- Michele Bonnin, Fernando Corinto, Marco Gilli, Pier Paolo Civalleri:
Waves and patterns in delayed oscillatory networks.
1372-1375
Electronic Edition (link) BibTeX
- Henry M. D. Ip, Emmanuel M. Drakakis, Anil A. Bharath:
A nonseparable 3D spatiotemporal bandpass filter with analog networks.
1376-1379
Electronic Edition (link) BibTeX
- Fernando Corinto, Valentina Lanza, Marco Gilli:
Spiral waves in bio-inspired oscillatory media.
1380-1383
Electronic Edition (link) BibTeX
- Mitra Mirhassani, Majid Ahmadi, Graham A. Jullien:
Robust analog neural network based on continuous valued number system.
1384-1387
Electronic Edition (link) BibTeX
Visual Signal Coding I
- Mauro Tiziani, Nicola Massari, Syed A. Jawed, Massimo Gottardi:
A self-adapting high dynamic-range visual representation algorithm for AER imagers.
1388-1391
Electronic Edition (link) BibTeX
- Weisheng Dong, Guangming Shi, Jizheng Xu:
Signal-adapted directional lifting scheme for image compression.
1392-1395
Electronic Edition (link) BibTeX
- Yu Liu, King Ngi Ngan, Feng Wu:
3-D direction aligned wavelet transform for scalable video coding.
1396-1399
Electronic Edition (link) BibTeX
- Sang-Tae Na, Kwan-Jung Oh, Cheon Lee, Yo-Sung Ho:
Multi-view depth video coding using depth view synthesis.
1400-1403
Electronic Edition (link) BibTeX
- Xiaopeng Fan, Oscar C. Au, Yan Chen, Jiantao Zhou, Mengyao Ma:
Bidirectionally decodable Wyner-Ziv video coding.
1404-1407
Electronic Edition (link) BibTeX
Low Power Smart CMOS Image Sensors & Beyond
Sigma-Delta Converters II
Low Power Circuits
- Enrico Dallago, Daniele Miatton, Giuseppe Venchi, Valeria Bottarel, Giovanni Frattini, Giulio Ricotti, Monica Schipani:
Active self supplied AC-DC converter for piezoelectric energy scavenging systems with supply independent bias.
1448-1451
Electronic Edition (link) BibTeX
- Sherif A. Tawfik, Volkan Kursun:
Low power and robust 7T dual-Vt SRAM circuit.
1452-1455
Electronic Edition (link) BibTeX
- Siegfried Dossou, Nicolas Abelé, Etienne César, Pascal Ancey, Jean-François Carpentier, Pierre Vincent, Jean-Michel Fournier:
60µW SMR BAW oscillator designed in 65nm CMOS technology.
1456-1459
Electronic Edition (link) BibTeX
- Md. Ibrahim Faisal, Magdy A. Bayoumi:
A low-area, low-power programmable frequency multiplier for DLL based clock synthesizers.
1460-1463
Electronic Edition (link) BibTeX
- Michael A. Turi, José G. Delgado-Frias:
High-performance low-power AND and Sense-Amp address decoders with selective precharging.
1464-1467
Electronic Edition (link) BibTeX
Digital Signal Processing Implementation
- Chun-Nan Liu, Jui Hong Hung, Tsung-Han Tsai:
Optimization techniques of AAC decoder on PACDSP VLIW processor.
1468-1471
Electronic Edition (link) BibTeX
- Yu-Ting Kuo, Tay-Jyi Lin, Wei-Han Chang, Yueh-Tai Li, Chih-Wei Liu, Shuenn-Tsong Young:
Complexity-effective auditory compensation for digital hearing aids.
1472-1475
Electronic Edition (link) BibTeX
- Walid Atabany, Patrick Degenaar:
Parallelism to reduce power consumption on FPGA spatiotemporal image processing.
1476-1479
Electronic Edition (link) BibTeX
- Stephen Pfetsch, Tamer Ragheb, Jason N. Laska, Hamid Nejati, Anna C. Gilbert, Martin Strauss, Richard G. Baraniuk, Yehia Massoud:
On the feasibility of hardware implementation of sub-Nyquist random-sampling based analog-to-information conversion.
1480-1483
Electronic Edition (link) BibTeX
- Richard Conway:
Efficient residue arithmetic based parallel fixed coefficient FIR filters.
1484-1487
Electronic Edition (link) BibTeX
Analog Signal Processing
Wireless Communications Circuits II
- Fabio Sebastiano, Salvatore Drago, Lucien Breems, Domine Leenaerts, Kofi A. A. Makinwa, Bram Nauta:
Impulse based scheme for crystal-less ULP radios.
1508-1511
Electronic Edition (link) BibTeX
- Seydou-Nourou Ba, Khurram Waheed, Guo Tong Zhou:
Efficient spacing scheme for a linearly interpolated lookup table predistorter.
1512-1515
Electronic Edition (link) BibTeX
- Francesco Renna, Stefano Marsili:
A tool for the fast distortion evaluation of non linear amplifiers in broadband transmission systems.
1516-1519
Electronic Edition (link) BibTeX
- Jang Woong Park, Hyoung Jin Yun, Myung Hoon Sunwoo, Pansoo Kim, Dae-Ig Chang:
Efficient coarse frequency synchronizer using serial correlator for DVB-S2.
1520-1523
Electronic Edition (link) BibTeX
- Linlin Zheng, Saul Rodriguez, Lu Zhang, Botao Shao, Li-Rong Zheng:
Design and implementation of a fully reconfigurable chipless RFID tag using Inkjet printing technology.
1524-1527
Electronic Edition (link) BibTeX
Analysis & Implementations of PLL
Neuroprosthetic Technologies & Platforms
- Yan Huang, Emmanuel M. Drakakis, Christofer Toumazou, Patrick Degenaar:
A CMOS image sensor with spiking pixels for retinal stimulation.
1548-1551
Electronic Edition (link) BibTeX
- Andreas Demosthenous, Dai Jiang, Ioannis Pachnis, Xiao Liu, Mohamad Rahal, Nick Donaldson:
A programmable ENG amplifier with passive EMG neutralization for FES applications.
1552-1555
Electronic Edition (link) BibTeX
- Ming Yin, Maysam Ghovanloo:
A wideband PWM-FSK receiver for wireless implantable neural recording applications.
1556-1559
Electronic Edition (link) BibTeX
- Ming Liu, Hong Chen, Run Chen, Zhihua Wang:
Low-power IC design for a wireless BCI system.
1560-1563
Electronic Edition (link) BibTeX
- Sylvain Saïghi, Laure Buhry, Yannick Bornat, Gilles N'Kaoua, Jean Tomas, Sylvie Renaud:
Adjusting the neurons models in neuromimetic ICs using the voltage-clamp technique.
1564-1567
Electronic Edition (link) BibTeX
VLSI Circuits
Cellular Nonlinear Networks:
Applications & Implementations
- Paolo Arena, Sebastiano De Fiore, Luigi Fortuna, Davide Lombardo, Luca Patané:
Implementation of a CNN-based perceptual framework on a roving robot.
1588-1591
Electronic Edition (link) BibTeX
- Alexey Lopich, Piotr Dudek:
ASPA: Focal Plane digital processor array with asynchronous processing capabilities.
1592-1595
Electronic Edition (link) BibTeX
- Carmen Alonso-Montes, Marcos Ortega, Manuel G. Penedo, David López Vilariño:
Pixel parallel vessel tree extraction for a personal authentication system.
1596-1599
Electronic Edition (link) BibTeX
- David López Vilariño, Piotr Dudek, Diego Cabello:
Focal-plane moving object segmentation for realtime video surveillance.
1600-1603
Electronic Edition (link) BibTeX
- Mika Laiho, Jonne Poikonen, Ari Paasio, Kari Halonen:
Centroiding and classification of objects using a processor array with a scalable region of interest.
1604-1607
Electronic Edition (link) BibTeX
Visual Signal Coding II
Vision Sensors I
- Suat U. Ay:
A hybrid CMOS APS pixel for wide-dynamic range imaging applications.
1628-1631
Electronic Edition (link) BibTeX
- Josep Maria Margarit, Justo Sabadell, Lluís Terés, Francisco Serra-Graells:
A novel DPS integrator for fast CMOS imagers.
1632-1635
Electronic Edition (link) BibTeX
- Walter D. Leon-Salas, Sina Balkir, Nathan Schemm, Michael W. Hoffman, Khalid Sayood:
Predictive coding on-sensor compression.
1636-1639
Electronic Edition (link) BibTeX
- Norihiro Takahashi, Kazuhide Fujita, Tadashi Shibata:
An analog self-similitude edge-filtering processor for multiple-resolution image perception.
1640-1643
Electronic Edition (link) BibTeX
- Daniel Matolin, Christoph Posch, Rainer Wohlgenannt, Thomas Maier:
A 64×64 pixel temporal contrast microbolometer infrared sensor.
1644-1647
Electronic Edition (link) BibTeX
Sigma-Delta Converters III
- Jorg Daniels, Wim Dehaene, Michiel Steyaert, Andreas Wiesbauer:
A/D conversion using an Asynchronous Delta-Sigma Modulator and a time-to-digital converter.
1648-1651
Electronic Edition (link) BibTeX
- Luis Hernandez, Enrique Prefasi:
A subsampling bandpass SigmaDelta modulator with lumped and distributed resonators.
1652-1655
Electronic Edition (link) BibTeX
- Hervé Caracciolo, Ivano Galdi, Edoardo Bonizzoni, Franco Maloberti:
Band-pass SigmaDelta architectures with single and two parallel paths.
1656-1659
Electronic Edition (link) BibTeX
- Chien-Hung Kuo, Huai-Juan Xie:
An ultra low-voltage multibit delta-sigma modulator for audio-band application.
1660-1663
Electronic Edition (link) BibTeX
- Anas A. Hamoui, Mohammad Sukhon, Franco Maloberti:
Digitally-enhanced 2nd-order DeltaSigma modulator with unity-gain signal transfer function.
1664-1667
Electronic Edition (link) BibTeX
Low Power Logic & Architectures
- Rakesh Gnana David Jeyasingh, Navakanta Bhat:
A low power, process invariant keeper for high speed dynamic logic circuits.
1668-1671
Electronic Edition (link) BibTeX
- Nobuaki Kobayashi, Tadayoshi Enomoto:
A low power 90-nm CMOS motion estimation processor implementing dynamic voltage and frequency scaling (DVFS) and fast motion estimation algorithm.
1672-1675
Electronic Edition (link) BibTeX
- Tero Säntti, Joonas Tyystjärvi, Juha Plosila:
A novel hardware acceleration scheme for java method calls.
1676-1679
Electronic Edition (link) BibTeX
- Yi-Ying Tsai, Chia-Jung Hsu, Chung-Ho Chen:
Address compression for scalable load/store queue implementation.
1680-1683
Electronic Edition (link) BibTeX
- Jimson Mathew, Jawar Singh, Abusaleh M. Jabir, Mohammad Hosseinabady, Dhiraj K. Pradhan:
Fault tolerant bit parallel finite field multipliers using LDPC codes.
1684-1687
Electronic Edition (link) BibTeX
Digital Audio & Speech Processing
Analog Circuits & IC Technology I
- Li Wang, Robert W. Newcomb:
An adjustable CMOS floating resistor.
1708-1711
Electronic Edition (link) BibTeX
- Antonio B. Torralba, Juan Antonio Gómez Galán, Clara Isabel Lujan-Martinez, Ramón González Carvajal, Jaime Ramírez-Angulo, Antonio J. López-Martín:
Comparison of programmable linear resistors based on quasi-floating gate MOSFETs.
1712-1715
Electronic Edition (link) BibTeX
- Christian Jesús B. Fayomi, Gilson I. Wirth, Jaime Ramírez-Angulo, Akira Matsuzawa:
"The flipped voltage follower"-based low voltage fully differential CMOS sample-and-hold circuit.
1716-1719
Electronic Edition (link) BibTeX
- Rafael L. Radin, Gustavo L. Moreira, Carlos Galup-Montoro, Márcio C. Schneider:
A simple modeling of the early voltage of MOSFETs in weak and moderate inversion.
1720-1723
Electronic Edition (link) BibTeX
- Wookwan Lee, Won Ho Choi, Young-Jae Min, Hoon Ki Kim, Soo-Won Kim:
The low-power and low-area PWM by light intensity for photoflash in 0.35-µm CMOS.
1724-1727
Electronic Edition (link) BibTeX
PLLs,
DLLs & Oscillators
Wireless Biomedical Systems I
- Reid R. Harrison, Ryan J. Kier, Bradley Greger, Florian Solzbacher, Cynthia A. Chestek, Vikash Gilja, Paul Nuyujukian, Stephen I. Ryu, Krishna V. Shenoy:
Wireless neural signal acquisition with single low-power integrated circuit.
1748-1751
Electronic Edition (link) BibTeX
- Cynthia A. Chestek, Vikash Gilja, Paul Nuyujukian, Stephen I. Ryu, Krishna V. Shenoy, Ryan J. Kier:
HermesC: RF wireless low-power neural recording system for freely behaving primates.
1752-1755
Electronic Edition (link) BibTeX
- Ming Yin, Maysam Ghovanloo:
A clockless ultra low-noise low-power wireless implantable neural recording system.
1756-1759
Electronic Edition (link) BibTeX
- Moo Sung Chae, Kuanfu Chen, Wentai Liu, Jungsuk Kim, Mohanasankar Sivaprakasam:
A 4-channel wearable wireless neural recording system.
1760-1763
Electronic Edition (link) BibTeX
- Wei-Chen Huang, Chen-Ming Hsu, Chien-Ming Lee, Hong-Yi Huang, Ching-Hsing Luo:
Dual band LNA/mixer using conjugate matching for implantable biotelemetry.
1764-1767
Electronic Edition (link) BibTeX
Neuromorphic Circuits
- Jonathan Tapson, Tara Julia Hamilton, Craig T. Jin, André van Schaik:
Self-tuned regenerative amplification and the hopf bifurcation.
1768-1771
Electronic Edition (link) BibTeX
- Tara Julia Hamilton, Craig T. Jin, André van Schaik, Jonathan Tapson:
A 2-D silicon cochlea with an improved automatic quality factor control-loop.
1772-1775
Electronic Edition (link) BibTeX
- Juan Antonio Leñero-Bardallo, Teresa Serrano-Gotarredona, Bernabé Linares-Barranco:
Compact calibration circuit for large neuromorphic arrays.
1776-1779
Electronic Edition (link) BibTeX
- David Sander, Nicole M. Nelson, Pamela Abshire:
Noise model, analysis and characterization of a differential active pixel sensor.
1780-1783
Electronic Edition (link) BibTeX
- Jayawan H. B. Wijekoon, Piotr Dudek:
Integrated circuit implementation of a cortical neuron.
1784-1787
Electronic Edition (link) BibTeX
Digital Design
- Rajesh Garg, Peng Li, Sunil P. Khatri:
Modeling dynamic stability of SRAMS in the presence of single event upsets (SEUs).
1788-1791
Electronic Edition (link) BibTeX
- Jonathan A. Clarke, George A. Constantinides, Peter Y. K. Cheung, Alastair M. Smith:
Glitch-aware output switching activity from word-level statistics.
1792-1795
Electronic Edition (link) BibTeX
- Yuki Watanabe, Naofumi Homma, Takafumi Aoki, Tatsuo Higuchi:
Arithmetic module generator with algorithm optimization capability.
1796-1799
Electronic Edition (link) BibTeX
- Masato Inagi, Yasuhiro Takashima, Yuichi Nakamura, Atsushi Takahashi:
ILP-based optimization of time-multiplexed I/O assignment for multi-FPGA systems.
1800-1803
Electronic Edition (link) BibTeX
- Hsin-Hsiung Huang, Shu-Ping Chang, Yu-Cheng Lin, Tsai-Ming Hsieh:
Timing-driven X-architecture router among rectangular obstacles.
1804-1807
Electronic Edition (link) BibTeX
Biosensors in Life & Health Science
- Pujitha Weerakoon, Eugenio Culurciello, Kate Klemic, Fred J. Sigworth:
An integrated patch-clamp amplifier for high-throughput planar patch-clamp systems.
1808-1811
Electronic Edition (link) BibTeX
- Wen H. Ko, Jun Guo, Xuesong Ye, Zue Zhang, Darrin J. Young, Cliff A. Megerian:
MEMS acoustic sensors for totally implantable hearing aid systems.
1812-1817
Electronic Edition (link) BibTeX
- Woon Tiong Ang, Changhong Yif, Jie Chen, Tarek El-Bialy, Michael Doschak, Hasan Uludag, Ying Tsui:
System-on-chip ultrasonic transducer for dental tissue formation and stem cell growth and differentiation.
1818-1821
Electronic Edition (link) BibTeX
- Jennifer Blain Christen, Brian Iglehart, Philippe Pouliquen:
Ultra-high ratio dilution microfluidic system for single strand DNA isolation.
1822-1825
Electronic Edition (link) BibTeX
- Yang Liu, Shantanu Chakrabartty:
Computer aided simulation and verification of forward error-correcting biosensors.
1826-1829
Electronic Edition (link) BibTeX
Video Over Network
- Yifu Zhang, Shunliang Mei, Quqing Chen, Zhibo Chen:
A multiple description image/video coding method by compressed sensing theory.
1830-1833
Electronic Edition (link) BibTeX
- Jing-Xin Wang, Alvin Wen-Yu Su, Yi-Chen Chen, Jenq-Neng Hwang:
Effective congestion and error control for scalable video coding extension of the H.264/AVC.
1834-1837
Electronic Edition (link) BibTeX
- Hsin-Yu Chi, Chia-Wen Lin, Yung-Chang Chen, Chih-Ming Chen:
Optimal rate allocation for scalable video multicast over WiMAX.
1838-1841
Electronic Edition (link) BibTeX
- Junho Cho, Wonyong Sung:
Software implementation of Chien search process for strong BCH codes.
1842-1845
Electronic Edition (link) BibTeX
- Yi-Kai Lin, Chin-Lung Chen, Yen-Chin Liao, Hsie-Chia Chang:
Structured LDPCcodes with low error floor based on PEG tanner graphs.
1846-1849
Electronic Edition (link) BibTeX
Image Sensors
Continuous-Time Sigma-Delta Converters
Memory Circuits & Architectures
- Shuo Wang, Lei Wang:
Design of error-tolerant cache memory for multithreaded computing.
1890-1893
Electronic Edition (link) BibTeX
- Sherif A. Tawfik, Volkan Kursun:
Dynamic wordline voltage swing for low leakage and stable static memory banks.
1894-1897
Electronic Edition (link) BibTeX
- Wei Xu, Tong Zhang, Yiran Chen:
Spin-transfer torque magnetoresistive content addressable memory (CAM) cell structure design with enhanced search noise margin.
1898-1901
Electronic Edition (link) BibTeX
- Youn-Sik Park, Sung-Wook Lee, Bai-Sun Kong, Kwang-Il Park, Jeong-Don Ihm, Joo-Sun Choi, Young-Hyun Jun:
PVT-invariant single-to-differential data converter with minimum skew and duty-ratio distortion.
1902-1905
Electronic Edition (link) BibTeX
- Bastien Giraud, Amara Amara:
A novel 4T asymmetric single-ended SRAM cell in sub-32 nm double gate technology.
1906-1909
Electronic Edition (link) BibTeX
Digital Signal Processing & Emerging Topics
- Rafiahamed Shaik, Mrityunjoy Chakraborty, Santanu Chattopadhyay:
An efficient finite precision realization of the block adaptive decision feedback equalizer.
1910-1913
Electronic Edition (link) BibTeX
- Anton Blad, Oscar Gustafsson:
Bit-level optimized FIR filter architectures for high-speed decimation applications.
1914-1917
Electronic Edition (link) BibTeX
- Rajasekhar Kakumani, Vijay Devabhaktuni, M. Omair Ahmad:
Prediction of protein-coding regions in DNA sequences using a model-based approach.
1918-1921
Electronic Edition (link) BibTeX
- Masanori Furuta, Takafumi Yamaji, Takeshi Ueno, Tetsuro Itakura:
An area-efficient sampling rate converter using negative feedback technique.
1922-1925
Electronic Edition (link) BibTeX
- Siyue Chen, Wenjie Zhu, Henry Leung:
Thermo-visual video fusion using probabilistic graphical model for human tracking.
1926-1929
Electronic Edition (link) BibTeX
Comparators
- Hong-Wei Huang, Chia-Hsiang Lin, Ke-Horng Chen:
A programmable dual hysteretic window comparator.
1930-1933
Electronic Edition (link) BibTeX
- Jun He, Sanyi Zhan, Degang Chen, Randall L. Geiger:
A simple and accurate method to predict offset voltage in dynamic comparators.
1934-1937
Electronic Edition (link) BibTeX
- Vipul Katyal, Randall L. Geiger, Degang Chen:
Adjustable hysteresis CMOS Schmitt triggers.
1938-1941
Electronic Edition (link) BibTeX
- Jun Tomisawa, Kazuyasu Nishikawa, Satoshi Yamakawa:
Low-current consumption CMOS comparator using charge-storage amplifier for A/D converters.
1942-1945
Electronic Edition (link) BibTeX
- Maziyar Khorasani, Leendert van den Berg, Philip Marshall, Meysam Zargham, Vincent C. Gaudet, Duncan G. Elliott, Stephane Martel:
Low-power static and dynamic high-voltage CMOS level-shifter circuits.
1946-1949
Electronic Edition (link) BibTeX
Transceiver Circuits
- Jun-Wen Zhang, Chang-Tao Wang:
700MHz RF transceiver of base station for 802.16e.
1950-1953
Electronic Edition (link) BibTeX
- Seulki Lee, Jerald Yoo, Hoi-Jun Yoo:
A 200Mbps 0.02nJ/b dual-mode inductive coupling transceiver for cm-range interconnection.
1954-1957
Electronic Edition (link) BibTeX
- Sangho Shin, Seokoh Yun, Sanghyun Cho, Jongmoon Kim, Minseok Kang, Wonkap Oh, Sung-Mo Kang:
0.18um CMOS integrated chipset for 5.8GHz DSRC systems with +10dBm output power.
1958-1961
Electronic Edition (link) BibTeX
- Ping-Ying Wang, Hsiu-Ming Chang:
A charge pump-based direct frequency modulator.
1962-1965
Electronic Edition (link) BibTeX
- Shoichi Hara, Takeshi Ito, Kenichi Okada, Akira Matsuzawa:
Design space exploration of low-phase-noise LC-VCO using multiple-divide technique.
1966-1969
Electronic Edition (link) BibTeX
Wireless Biomedical Systems II
Chemical & Biological Sensors for Environmental Monitoring
- Denise Wilson, Leila Shepherd:
Chemical and biological sensors for environmental monitoring.
1990-1993
Electronic Edition (link) BibTeX
- Robin North, Mark Richards, Jeremy Cohen, Neil Hoose, John Hassard, John Polak:
A mobile environmental sensing system to manage transportation and urban air quality.
1994-1997
Electronic Edition (link) BibTeX
- Nora D. Bull, Syed K. Islam, Benjamin J. Blalock, S. Ripp, S. Moser, G. S. Sayler:
Genetically-engineered whole-cell bioreporters on integrated circuits for environmental monitoring.
1998-2001
Electronic Edition (link) BibTeX
- Daniel Rairigh, Andrew Mason, Michael P. Rowe, Edward T. Zellers:
Baseline resistance cancellation circuit for high resolution thiolate-monolayer-protected gold nanoparticle vapor sensor arrays.
2002-2005
Electronic Edition (link) BibTeX
- Yang Liu, Shantanu Chakrabartty, Evangelyn C. Alocilja:
A multiplexed biosensor based on biomolecular nanowires.
2006-2009
Electronic Edition (link) BibTeX
Digital Synthesis
Biosensor Systems for Health Care
- Yu-Ting Shen, Pau-Choo Chung, Monnique Thonnet, Patrick Chauvel:
Seizure detection on prolonged-EEG videos.
2030-2033
Electronic Edition (link) BibTeX
- Shih-Lun Chen, Ho-Yin Lee, Yu-Wen Chu, Chiung-An Chen, Chin-Chun Lin, Ching-Hsing Luo:
A variable control system for wireless body sensor network.
2034-2037
Electronic Edition (link) BibTeX
- S. M. Rezaul Hasan, Nazmul Ula:
Analog CMOS charge model for molecular redox electron-transfer reactions and bio-chemical pathways.
2038-2041
Electronic Edition (link) BibTeX
- Ya-Ti Peng, Daby M. Sow:
Data scaling in remote health monitoring systems.
2042-2045
Electronic Edition (link) BibTeX
- Gabriele Lillacci, Paolo Valigi:
State estimation for a model of gene expression.
2046-2049
Electronic Edition (link) BibTeX
Reliable Multimedia Networking Techniques
- Mengyao Ma, Oscar C. Au, Liwei Guo, Xiaopeng Fan, Ling Hou, Shueng-Han Gary Chan:
A multi-hypothesis decoder for multiple description video coding.
2050-2053
Electronic Edition (link) BibTeX
- Yifeng He, Guobin Shen, Yongqiang Xiong, Ling Guan:
Probabilistic prefetching scheme for P2P VoD applications with frequent seeks.
2054-2057
Electronic Edition (link) BibTeX
- Yaser Pourmohammadi Fallah, Hassan Mansour, Salman Khan, Panos Nasiopoulos, Hussein M. Alnuweiri:
An optimized link adaptation scheme for efficient delivery of scalable H.264 Video over IEEE 802.11n.
2058-2061
Electronic Edition (link) BibTeX
- Hui Wang, C. C. Jay Kuo:
Robust video multicast with joint network coding and AL-FEC.
2062-2065
Electronic Edition (link) BibTeX
- Victor Gau, Yi-Hsien Wang, Jenq-Neng Hwang:
A hierarchical push-pull scheme for peer-to-peer live streaming.
2066-2069
Electronic Edition (link) BibTeX
Sensor Applications
Sensor & Actuator Interface Circuits II
- Mohammad Reza Nabavi, Stoyan Nihtianov:
Design of reliable interface system for eddy current displacement sensors in vacuum environments1.
2090-2093
Electronic Edition (link) BibTeX
- Ming Zhang, Nicolas Llaser, Hervé Mathias:
A low noise CMOS preamplifier for femtoampere current detection.
2094-2097
Electronic Edition (link) BibTeX
- John Oliver, Mark Lehne, Krishna Vummidi, Amy Bell, Sanjay Raman:
A low power CMOS sigma-delta readout circuit for heterogeneously integrated chemoresistive micro-/nano- sensor arrays.
2098-2101
Electronic Edition (link) BibTeX
- Christian Falconi, Marco Fratini, Arnaldo D'Amico, Giuseppe Scotti, Alessandro Trifiletti:
Low voltage, low power, compact, high accuracy, high precision PTAT temperature sensor for deep sub-micron CMOS systems.
2102-2105
Electronic Edition (link) BibTeX
- Sangduk Yu, Kichang Jang, Sanghyun Cha, Yeonjung Lee, Ohjo Kwon, Kyougsoo Kwon, Joongho Choi:
A piezoelectric actuator driver circuit for automatic focusing of mobile phone cameras.
2106-2109
Electronic Edition (link) BibTeX
Sensory Processing Circuits & Systems
- Hisham Abdalla, Timothy K. Horiuchi:
Binaural spectral cues for ultrasonic localization.
2110-2113
Electronic Edition (link) BibTeX
- Kenichi Yabuta, Hitoshi Kitazawa:
Optimum camera placement considering camera specification for security monitoring.
2114-2117
Electronic Edition (link) BibTeX
- Daniel Fernández, Jordi Madrenas, Jordi Cosp:
Position, damping and inertia control of parallel-plate electrostatic actuators.
2118-2121
Electronic Edition (link) BibTeX
- Michel Nowak, Éric Colinet, Nicolas Delorme, François Conseil, Gilles Jacquemod:
A wireless sensing platform for battery-free sensors.
2122-2125
Electronic Edition (link) BibTeX
- Man Kay Law, Amine Bermak:
A Time Domain differential CMOS Temperature Sensor with Reduced Supply Sensitivity.
2126-2129
Electronic Edition (link) BibTeX
Vision Sensors II
- Christoph Posch, Daniel Matolin, Rainer Wohlgenannt:
An asynchronous time-based image sensor.
2130-2133
Electronic Edition (link) BibTeX
- Zhiqiang Lin, Michael W. Hoffman, Walter D. Leon, Nathan Schemm, Sina Balkir:
A CMOS image sensor with focal plane SPIHT image compression.
2134-2137
Electronic Edition (link) BibTeX
- Adam Teman, Sagi Fisher, Liby Sudakov, Alexander Fish, Orly Yadid-Pecht:
Autonomous CMOS image sensor for real time target detection and tracking.
2138-2141
Electronic Edition (link) BibTeX
- Viktor Gruev, Zheng Yang, Jan Van der Spiegel:
Low power linear current mode imager with 1.5 transistors per pixel.
2142-2145
Electronic Edition (link) BibTeX
- Jenny Anna Maria Olsson, Philipp Hafliger:
Two color asynchronous event photo pixel.
2146-2149
Electronic Edition (link) BibTeX
Neuromorphic Circuits & Systems
- Jose Antonio Perez-Carrasco, Teresa Serrano-Gotarredona, Carmen Serrano-Gotarredona, Begoña Acha, Bernabé Linares-Barranco:
High-speed character recognition system based on a complex hierarchical AER architecture.
2150-2153
Electronic Edition (link) BibTeX
- Shih-Chii Liu, Rico Moeckel:
Temporally learning floating-gate VLSI synapses.
2154-2157
Electronic Edition (link) BibTeX
- Manuel Carrasco-Robles, Luis Serrano:
A novel CMOS current mode fully differential tanh (x) implementation.
2158-2161
Electronic Edition (link) BibTeX
- Fopefolu O. Folowosele, Francesco Tenore, Alexander Russell, Garrick Orchard, Mark P. Vismer, Jonathan Tapson, Ralph Etienne-Cummings:
Implementing a neuromorphic cross-correlation engine with silicon neurons.
2162-2165
Electronic Edition (link) BibTeX
- Wu-Hua Chen, Wei Xing Zheng:
Stability analysis for impulsive neural networks with variable delays.
2166-2169
Electronic Edition (link) BibTeX
Neural Systems & Applications
Switching Power Converters
- Hirotaka Koizumi:
Delta-sigma modulated class D ZCS series resonant inverter with an inductive load.
2186-2189
Electronic Edition (link) BibTeX
- Toru Ezawa, Hiroo Sekiya, Takashi Yahagi:
Design of class DE amplifier with nonlinear shunt capacitances for any output Q.
2190-2193
Electronic Edition (link) BibTeX
- Santanu Kapat, Amit Patra, Soumitro Banerjee:
A novel current controlled tri-state boost converter with superior dynamic performance.
2194-2197
Electronic Edition (link) BibTeX
- Albert Garcia-Tormo, Eduard Alarcón, Alberto Poveda, Francesc Guinjoan:
Low-OSR asynchronous Sigma-Delta modulation high-order buck converter for efficient wideband switching amplification.
2198-2201
Electronic Edition (link) BibTeX
- Chun-Yu Hsieh, Shih-Jung Wang, Yu-Huei Lee, Ke-Horng Chen:
LED drivers with PPD compensation for achieving fast transient response.
2202-2205
Electronic Edition (link) BibTeX
On-chip Low-power Electronics
- Xiaoru Xu, Xiaobo Wu, Xiaolang Yan:
A quasi fixed frequency constant on time controlled boost converter.
2206-2209
Electronic Edition (link) BibTeX
- Daniel Fernández, Jordi Madrenas, Eduard Alarcón:
An asynchronous finite state machine controller for integrated buck-boost power converters in wideband signal-tracking applications.
2210-2213
Electronic Edition (link) BibTeX
- Chi-Lin Chen, Wei-Jen Lai, Ter-Hsing Liu, Ke-Horng Chen:
Zero current detection technique for fast transient response in buck DC-DC converters.
2214-2217
Electronic Edition (link) BibTeX
- Ngok-Man Sze, Feng Su, Yat-Hei Lam, Wing-Hung Ki, Chi-Ying Tsui:
Integrated single-inductor dual-input dual-output boost converter for energy harvesting applications.
2218-2221
Electronic Edition (link) BibTeX
- Adan Simon-Muela, Y. El Basri, Corinne Alonso, Jean Louis Chaptal:
Review of digital control laws for high-frequency point-of-load converters.
2222-2225
Electronic Edition (link) BibTeX
Voltage Regulators & References II
- Stefano Ruzza, Enrico Dallago, Giuseppe Venchi, Sergio Morini:
An offset compensation technique for bandgap voltage reference in CMOS technology.
2226-2229
Electronic Edition (link) BibTeX
- Edgar Mauricio Camacho-Galeano, Juliano Q. Moreira, Maicon D. Pereira, Adilson J. Cardoso, Carlos Galup-Montoro, Márcio C. Schneider:
Temperature performance of sub-1V ultra-low power current sources.
2230-2233
Electronic Edition (link) BibTeX
- Hung-Wei Chen, Jing-Yu Luo, Wen-Cheng Yen:
A 1V power supply operation CMOS subbandgap reference using switched capacitors.
2234-2237
Electronic Edition (link) BibTeX
- Jader A. De Lima, Wallace A. Pimenta:
A current limiter for LDO regulators with internal compensation for process and temperature variations.
2238-2241
Electronic Edition (link) BibTeX
- Wing Yan Leung, Tsz Yin Man, Wan Tim Chan, Mansun Chan:
A high precision, output-capacitor-free low-dropout regulator for system-on-chip design.
2242-2245
Electronic Edition (link) BibTeX
Data Converters III
- Guangming Shi, Zhe Liu, X. Y. Chen, L. J. Wang:
A parallel sampling scheme for ultra-wideband signal based on the random projection.
2246-2249
Electronic Edition (link) BibTeX
- Chia-Nan Yeh, Yen-Tai Lai:
A novel flash analog-to-digital converter.
2250-2253
Electronic Edition (link) BibTeX
- Hamilton Klimach, Márcio C. Schneider, Carlos Galup-Montoro:
An M-2M digital-to-analog converter design methodology based on a physical mismatch model.
2254-2257
Electronic Edition (link) BibTeX
- Acacio Galhardo, João Goes, Nuno F. Paulino:
Low-power 6-bit 1-GS/s two-channel pipeline ADC with open-loop amplification using amplifiers with local-feedback.
2258-2261
Electronic Edition (link) BibTeX
- Jae-Jin Jung, Kwang-Hyun Baek, Shin-Il Lim, Suki Kim, Sung-Mo Kang:
Design of a 6 bit 1.25 GS/s DAC for WPAN.
2262-2265
Electronic Edition (link) BibTeX
Amplifiers III
- Md. Mahbub Reja, Igor M. Filanovsky, Kambiz K. Moez:
A CMOS 2.0-11.2 GHz UWB LNA using active inductor circuit.
2266-2269
Electronic Edition (link) BibTeX
- Majid Jalalifar, Mohammad Yavari, Farshid Raissi:
A novel topology in reversed nested miller compensation using dual-active capacitance.
2270-2273
Electronic Edition (link) BibTeX
- Weixun Yan, Robert Kolm, Horst Zimmermann:
A low-voltage low-power fully differential rail-to-rail input/output opamp in 65-nm CMOS.
2274-2277
Electronic Edition (link) BibTeX
- Weixun Yan, Robert Kolm, Horst Zimmermann:
Efficient four-stage frequency compensation for low-voltage amplifiers.
2278-2281
Electronic Edition (link) BibTeX
- Francesco Centurelli, Pietro Monsurrò, Giuseppe Scotti, Alessandro Trifiletti:
A gain-enhancing technique for very low-voltage amplifiers.
2282-2285
Electronic Edition (link) BibTeX
Mixed Signal Circuits
Analog Circuits & IC Technology II
- Toru Tanzawa:
A process- and temperature-tolerant power-on reset circuit with a flexible detection level higher than the bandgap voltage.
2302-2305
Electronic Edition (link) BibTeX
- Tongqiang Gao, Chun Zhang, Baoyong Chi, Zhihua Wang:
An improved method of power control with CMOS class-E power amplifiers.
2306-2309
Electronic Edition (link) BibTeX
- Hong-Wei Huang, Wei-Lun Hsieh, Ke-Horng Chen:
Programmable voltage-to-current converter with linear voltage control resistor.
2310-2313
Electronic Edition (link) BibTeX
- Byung-Do Yang, Jang-Su Kim, Jin-Kuk Yun, Yong-Kyu Lee, Jee-Sue Lee:
A highly accurate BiCMOS cascode current mirror for wide output voltage range.
2314-2317
Electronic Edition (link) BibTeX
- Chutham Sawigun, Jirayuth Mahattanakul:
A 1.5V, wide-input range, high-bandwidth, CMOS four-quadrant analog multiplier.
2318-2321
Electronic Edition (link) BibTeX
Analog Techniques
- Luís Bica Oliveira, Igor M. Filanovsky, Ahmed Allam, Jorge R. Fernandes:
Synchronization of two LC- oscillators using capacitive coupling.
2322-2325
Electronic Edition (link) BibTeX
- Rafal Dlugosz, Kris Iniewski:
Power and area efficient circular-memory switched-capacitor FIR baseband filter for WCDMA/GSM.
2326-2329
Electronic Edition (link) BibTeX
- Jose Maria Garcia del Pozo, Maria Teresa Sanz, Santiago Celma, Aránzazu Otín, Juan Pablo Alegre, Justo Sabadell:
10GBase-LX4 optical fiber receiver in a 0.18µm digital CMOS process.
2330-2333
Electronic Edition (link) BibTeX
- Daniel Fernández, Jordi Madrenas, Dominik Kapusta, Piotr Michalik:
Exponential-enhanced characteristic of MOS transistors and its application to log-domain circuits.
2334-2337
Electronic Edition (link) BibTeX
- Zulhakimi Razak, Tughrul Arslan:
Nyquist-rate analog-to-digital converter specification for Zero-IF UMTS receiver.
2338-2341
Electronic Edition (link) BibTeX
Nano-inspired Technologies & Applications
Implementations of Nonlinear Circuits
- Miao Li, Tad Kwasniewski, Shoujun Wang:
A 0.18-µm CMOS clock and data recovery circuit with reference-less dual loops.
2358-2361
Electronic Edition (link) BibTeX
- Hong-Yi Huang, Chia-Ming Liang, Shi-Jia Sun:
Low-power 50% duty cycle corrector.
2362-2365
Electronic Edition (link) BibTeX
- Antonio Buonomo:
An inductively tuned CMOS astable multivibrator.
2366-2369
Electronic Edition (link) BibTeX
- Jordi Cosp, Stéphane Binczak, Jordi Madrenas, Daniel Fernández:
Implementation of compact VLSI FitzHugh-Nagumo neurons.
2370-2373
Electronic Edition (link) BibTeX
- A. Samil Demirkol, Serdar Özoguz, Vedat Tavas, Selçuk Kilinç:
A CMOS realization of double-scroll chaotic circuit and its application to random number generation.
2374-2377
Electronic Edition (link) BibTeX
Nonlinear Circuits & Systems
Data Converters IV
- Frank Ohnhaeuser, Mario Huemer:
Methods to eliminate dynamic errors in high-performance SAR A/D converter.
2398-2401
Electronic Edition (link) BibTeX
- Hanqing Xing, Degang Chen, Randall L. Geiger, Le Jin:
System identification -based reduced-code testing for pipeline ADCs' linearity test.
2402-2405
Electronic Edition (link) BibTeX
- Hooman Farkhani, Mohammad Maymandi-Nejad, Manoj Sachdev:
A fully digital ADC using a new delay element with enhanced linearity.
2406-2409
Electronic Edition (link) BibTeX
- Jeffrey Hinrichs, Guoqing Miao:
Jitter error spectrum for NRZ D/A converters.
2410-2413
Electronic Edition (link) BibTeX
- Subhanshu Gupta, Yi Tang, David J. Allstot, Jeyanandh Paramesh:
Hybrid modeling techniques for low OSR cascade continuous-time SigmaDelta modulators.
2414-2417
Electronic Edition (link) BibTeX
Clocking & Timing
Digital Filter Design Techniques
Sensor & Actuator Interface Circuits I
- Jalpa Shah, Houri Johari, Ajit Sharma, Farrokh Ayazi:
CMOS ASIC for MHz silicon BAW gyroscope.
2458-2461
Electronic Edition (link) BibTeX
- Mohamad Rahal, Andreas Demosthenous:
An integrated design for the front-end of an inductive position sensor.
2462-2465
Electronic Edition (link) BibTeX
- Rong Wu, Kofi A. A. Makinwa, Johan H. Huijsing:
The design of a chopped current-feedback instrumentation amplifier.
2466-2469
Electronic Edition (link) BibTeX
- Christian Falconi, Arnaldo D'Amico, Giuseppe Scotti, Alessandro Trifiletti:
Dual op amp, LDO regulator with power supply gain suppression for CMOS smart sensors and microsystems.
2470-2473
Electronic Edition (link) BibTeX
- Timothy G. Constandinou, Julius Georgiou, Chris Toumazou:
A micropower front-end interface for differential-capacitive sensor systems.
2474-2477
Electronic Edition (link) BibTeX
Wireless Communications Systems
- Daisuke Mizukami, Kenji Kodera, Hiroshi Yasukawa:
Frequency offset compensation for OFDM receiver using rotating concyclic antenna.
2478-2481
Electronic Edition (link) BibTeX
- Chung-Jin Tsai, Chun-Hao Liao, Tzi-Dar Chiueh:
IQ imbalance and phase noise mitigation for wireless OFDM systems.
2482-2485
Electronic Edition (link) BibTeX
- Shingo Yoshizawa, Yasushi Yamauchi, Yoshikazu Miyanaga:
A complete pipelined MMSE detection architecture in a 4x4 MIMO-OFDM receiver.
2486-2489
Electronic Edition (link) BibTeX
- Daxian Yun, Yanjie Peng, Jun Han, Xiaoyang Zeng:
Tracking loop for IR-UWB communications in IEEE 802.15 multi-path channels.
2490-2493
Electronic Edition (link) BibTeX
- Yu-Heng George Lee, James Helton, Chien-In Henry Chen:
Real-time FPGA-based implementation of digital instantaneous frequency measurement receiver.
2494-2497
Electronic Edition (link) BibTeX
Circuits for Implantable Devices
Recent Advances in Complex Networks
Analog Design & Synthesis
Energy-Efficient Building Blocks for Ubiquitous Sensing
- Yu-Shiang Lin, Scott Hanson, Fabio Albano, Carlos Tokunaga, Razi-Ul Haque, Kensall Wise, Ann Marie Sastry, David Blaauw, Dennis Sylvester:
Low-voltage circuit design for widespread sensing applications.
2558-2561
Electronic Edition (link) BibTeX
- Patrick P. Mercier, Denis C. Daly, Manish Bhardwaj, David D. Wentzloff, Fred S. Lee, Anantha P. Chandrakasan:
Ultra-low-power UWB for sensor network applications.
2562-2565
Electronic Edition (link) BibTeX
- Mark Hempstead, Gu-Yeon Wei, David Brooks:
System design considerations for sensor network applications.
2566-2569
Electronic Edition (link) BibTeX
- Jun Yi, Feng Su, Yat-Hei Lam, Wing-Hung Ki, Chi-Ying Tsui:
An energy-adaptive MPPT power management unit for micro-power vibration energy harvesting.
2570-2573
Electronic Edition (link) BibTeX
- Tae-Hyoung Kim, Jason Liu, John Keane, Chris H. Kim:
Circuit techniques for ultra-low power subthreshold SRAMs.
2574-2577
Electronic Edition (link) BibTeX
Multimedia Implementation Techniques
- Tse-Wei Chen, Chih-Hao Sun, Jun-Ying Bai, Han-Ru Chen, Shao-Yi Chien:
Architectural analyses of K-Means silicon intellectual property for image segmentation.
2578-2581
Electronic Edition (link) BibTeX
- Ning Yao, Robert J. Sclabassi, Qiang Liu, John D. Fernstrom, Madelyn H. Fernstrom, Jie Yang, Mingui Sun:
A sparse representation of physical activity video in the study of obesity.
2582-2585
Electronic Edition (link) BibTeX
- Yu-Xuan Lee, Tsung-Han Tsai:
An efficient embedded compression algorithm using adjusted binary code method.
2586-2589
Electronic Edition (link) BibTeX
- Chun-Hao Wang, Ling Guan:
Graph cut video object segmentation using histogram of oriented gradients.
2590-2593
Electronic Edition (link) BibTeX
- Gwo Giun Lee, He-Yuan Lin, Ming-Jiun Wang, Rong-Lai Lai, Chih Wen Jhuo:
A high-quality spatial-temporal content-adaptive deinterlacing algorithm.
2594-2597
Electronic Edition (link) BibTeX
Power Management Integrated Circuits
- Christian Peters, Fabian Henrici, Maurits Ortmanns, Yiannos Manoli:
High-bandwidth floating gate CMOS rectifiers with reduced voltage drop.
2598-2601
Electronic Edition (link) BibTeX
- Hung-Chih Lin, Bou-Ching Fung, Tsin-Yuan Chang:
A current mode adaptive on-time control scheme for fast transient DC-DC converters.
2602-2605
Electronic Edition (link) BibTeX
- Gerard Villar, Eduard Alarcón:
Inductor-current zero-crossing detection mixed-signal CMOS circuit for a DCM-operated 3-level switching power converter.
2606-2609
Electronic Edition (link) BibTeX
- Inshad Chowdhury, Dongsheng Ma:
An integrated reconfigurable switched-capacitor DC-DC converter with a dual-loop adaptive gain-pulse control.
2610-2613
Electronic Edition (link) BibTeX
- Jaber A. Abu-Qahouq, Wisam Al-Hoor, Wasfy Mikhael, Lilly Huang, Issa Batarseh:
Adaptive step-size digital controller for switching frequency auto-tuning.
2614-2616
Electronic Edition (link) BibTeX
PLLs
- Devesh Nema, Thomas Toifl:
Active compensation of supply noise for a 5-GHz VCO in 45-nm CMOS SOI technology.
2617-2620
Electronic Edition (link) BibTeX
- Gerald L. Fudge, Mark A. Chivers, Sujit Ravindran, Ross E. Bland, Phillip E. Pace:
A reconfigurable direct RF receiver architecture.
2621-2624
Electronic Edition (link) BibTeX
- Hae-Soo Jeon, Duk-Hyun You, In-Cheol Park:
Fast frequency acquisition all-digital PLL using PVT calibration.
2625-2628
Electronic Edition (link) BibTeX
- Jae Y. Kim, Chih-Wei Yao, A. N. Willson:
A programmable 25 MHz to 6 GHz rational-K/L frequency synthesizer with digital Kvco compensation.
2629-2632
Electronic Edition (link) BibTeX
- Cameron T. Charles, David J. Allstot:
A buffered charge pump with zero charge sharing.
2633-2636
Electronic Edition (link) BibTeX
Testing
Digital Filters & Applications
Voltage Regulators & References I
UWB Circuits
- Hans Danneels, Marian Verhelst, Pieter Palmers, Wim Vereecken, Bruno Boury, Wim Dehaene, Michiel Steyaert, Georges G. E. Gielen:
A low-power mixing DAC IR-UWB-receiver.
2697-2700
Electronic Edition (link) BibTeX
- Davide Ponton, Pierpaolo Palestri, David Esseni, Luca Selmi, Marc Tiebout, Bertrand Parvais, Gerhard Knoblinger:
Design of UWB LNA in 45nm CMOS technology: Planar bulk vs. FinFET.
2701-2704
Electronic Edition (link) BibTeX
- Andrea Gerosa, Maurizio Dalla Costa, Andrea Bevilacqua, Daniele Vogrig, Andrea Neviani:
An energy-detector for non-coherent impulse-radio UWB receivers.
2705-2708
Electronic Edition (link) BibTeX
- Wen-Chieh Wang, Chang-Ping Liao, Yi-Kai Lo, Zue-Der Huang, Fadi Riad Shahroury, Chung-Yu Wu:
The design of integrated 3-GHz to 11-GHz CMOS transmitter for full-band ultra-wideband (UWB) applications.
2709-2712
Electronic Edition (link) BibTeX
- Nuno F. Paulino, João Goes, Adolfo Steiger-Garção:
A CMOS variable width short-pulse generator circuit for UWB RADAR applications.
2713-2716
Electronic Edition (link) BibTeX
Low Power Biomedical Interface Circuits
- Chun-Chieh Huang, Shao-Hang Hung, Jen-Feng Chung, Lan-Da Van, Chin-Teng Lin:
Front-end amplifier of low-noise and tunable BW/gain for portable biomedical signal acquisition.
2717-2720
Electronic Edition (link) BibTeX
- Edward K. F. Lee, Anthony Lam, Taihu Li:
A 0.65V rail-to-rail constant gm opamp for biomedical applications.
2721-2724
Electronic Edition (link) BibTeX
- Xiaodan Zou, Xiaoyuan Xu, Jun Tan, Libin Yao, Yong Lian:
A 1-V 1.1-muW sensor interface IC for wearable biomedical devices.
2725-2728
Electronic Edition (link) BibTeX
- Robert Rieger, Andreas Demosthenous:
A DC coupled signal acquisition system with ultra-wide input range.
2729-2732
Electronic Edition (link) BibTeX
- Benoit Gosselin, Mohamad Sawan:
An ultra low-power CMOS action potential detector.
2733-2736
Electronic Edition (link) BibTeX
Advanced Semantic Object & Event Analysis in Video Surveillance
- Weiyao Lin, Ming-Ting Sun, Radha Poovendran, Zhengyou Zhang:
Human activity recognition for video surveillance.
2737-2740
Electronic Edition (link) BibTeX
- Sakrapee Paisitkriangkrai, Chunhua Shen, Jian Zhang:
An experimental study on pedestrian classification using local features.
2741-2744
Electronic Edition (link) BibTeX
- Duan-Yu Chen, Kevin Cannons, Hsiao-Rong Tyan, Sheng-Wen Shih, Hong-Yuan Mark Liao:
A framework ofspatio-temporal analysisfor video surveillance.
2745-2748
Electronic Edition (link) BibTeX
- Ruijiang Luo, Liyuan Li, Weimin Huang, Qibin Sun:
Multi-strategy object tracking in complex situation for video surveillance.
2749-2752
Electronic Edition (link) BibTeX
- Fan-Tung Wei, Sheng-Ting Chou, Chia-Wen Lin:
A region-based object tracking scheme using Adaboost-based feature selection.
2753-2756
Electronic Edition (link) BibTeX
Interconnect Modeling & Power
- Guoqing Chen, Eby G. Friedman:
Transient simulation of on-chip transmission lines via exact pole extraction.
2757-2760
Electronic Edition (link) BibTeX
- Ashoka Visweswara Sathanur, Andrea Calimera, Antonio Pullini, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino:
On quantifying the figures of merit of power-gating for leakage power minimization in nanometer CMOS circuits.
2761-2764
Electronic Edition (link) BibTeX
- Eunjoo Choi, Youngsoo Shin:
3-D thermal simulation with dynamic power profiles.
2765-2768
Electronic Edition (link) BibTeX
- Weixiang Shen, Yici Cai, Xianlong Hong:
Leakage power optimization for clock network using dual-Vth technology.
2769-2772
Electronic Edition (link) BibTeX
- Kaustubha A. Mendhurwar, Vijay Kumar Devabhaktuni, Rabin Raut:
Binning algorithm for accurate computer aided device modeling.
2773-2776
Electronic Edition (link) BibTeX
Novel Interconnect Infrastructures for Massive Multicore Chips
- Partha Pratim Pande, Amlan Ganguly, Benjamin Belzer, Alireza Nojeh, André Ivanov:
Novel interconnect infrastructures for massive multicore chips - an overview.
2777-2780
Electronic Edition (link) BibTeX
- Krishna Saraswat, Hoyeol Cho, Pawan Kapur, Kyung-Hoae Koo:
Performance comparison between copper, carbon nanotube, and optical interconnects.
2781-2784
Electronic Edition (link) BibTeX
- Christof Teuscher, Anders A. Hansson:
Non-traditional irregular interconnects for massive scale SoC.
2785-2788
Electronic Edition (link) BibTeX
- Michele Petracca, Keren Bergman, Luca P. Carloni:
Photonic networks-on-chip: Opportunities and challenges.
2789-2792
Electronic Edition (link) BibTeX
- Claudia Rusu, Cristian Grecu, Lorena Anghel:
Improving the scalability of checkpoint recovery for networks-on-chip.
2793-2796
Electronic Edition (link) BibTeX
VLSI Implementation of Multimedia Kernel
- Chih-Hung Kuo, Li-Chuan Chang, Zheng-Wei Liu, Bin-Da Liu:
System level design of a spatio-temporal video resampling architecture.
2797-2800
Electronic Edition (link) BibTeX
- Jen-Shiun Chiang, Ting-Hao Hwang, Tsung-Ta Lin, Chih-Hsien Hsia:
High efficiency architecture of escot with pass concurrent context modeling scheme for scalable video coding.
2801-2804
Electronic Edition (link) BibTeX
- Hai Bing Yin, Xi Zhong Lou, Zhe Lei Xia, Wen Gao:
An efficient VLSI architecture for rate disdortion optimization in AVS video encoder.
2805-2808
Electronic Edition (link) BibTeX
- Tareq Jaber, Abbes Amira, Peter Milligan:
Performance evaluation of DCT and wavelet transform for LSI.
2809-2812
Electronic Edition (link) BibTeX
- Simon Heinzle, Olivier Saurer, Sebastian Axmann, Diego Browarnik, Andreas Schmidt, Flavio Carbognani, Peter Luethi, Norbert Felber, Markus H. Gross:
A transform, lighting and setup ASIC for surface splatting.
2813-2816
Electronic Edition (link) BibTeX
Other Topics in Power Systems & Power Electronics
Oscillators
- Michael S. McCorquodale, Scott M. Pernia, Sundus Kubba, Gordy A. Carichner, Justin D. O'Day, Eric D. Marsman, Jon Kuhn, Richard B. Brown:
A 25MHz all-CMOS reference clock generator for XO-replacement in serial wire interfaces.
2837-2840
Electronic Edition (link) BibTeX
- Su Cui, T. Lakshmi Viswanathan, Thayamkulangara R. Viswanathan, Bhaskar Banerjee:
A highly linear CMOS current-controlled oscillator using a novel frequency detector.
2841-2844
Electronic Edition (link) BibTeX
- Ruchir Saraswat, Uwe Zillmann, Supriyanto Supriyanto, Guido Droege, Ulrich Bretthauer:
Programmable spread spectrum clock generation based on successive phase selection technique.
2845-2848
Electronic Edition (link) BibTeX
- Miguel Angel Domínguez, Jose Luis Ausin, J. Francisco Duque-Carrillo, Guido Torelli:
A noise-shaping SC sine-wave oscillator.
2849-2852
Electronic Edition (link) BibTeX
- Dimitrios N. Loizos, Paul-Peter Sotiriadis, Gert Cauwenberghs:
7-decades tunable translinear SiGe BiCMOS 3-phase sinusoidal oscillator.
2853-2856
Electronic Edition (link) BibTeX
Interconnects & Packaging
Wavelets
- Iman A. El-shehaby, Trac D. Tran:
Local computation and estimation of wavelet coefficients in the dual-tree complex wavelet transform.
2877-2880
Electronic Edition (link) BibTeX
- Hitoshi Kiya, Masahiro Iwahashi, Osamu Watanabe:
A new structure of lifting wavelet for reducing rounding error.
2881-2884
Electronic Edition (link) BibTeX
- An P. N. Vo, Soontorn Oraintara, Truong T. Nguyen:
Statistical image modeling using von Mises distribution in the complex directional wavelet domain.
2885-2888
Electronic Edition (link) BibTeX
- Yothin Rakvongthai, Soontorn Oraintara:
On the probability density function of the derotated phase of complex wavelet coefficients.
2889-2892
Electronic Edition (link) BibTeX
- Rodrigo Capobianco Guido, Sylvio Barbon Junior, Lucimar Sasso Vieira, Fabrício Lopes Sanchez, Carlos Dias Maciel, Jose Carlos Pereira, Paulo Rogério Scalassara, Everthon Silva Fonseca:
Introduction to the Discrete Shapelet Transform and a new paradigm: Joint time-frequency-shape analysis.
2893-2896
Electronic Edition (link) BibTeX
Continuous-time Filters I
- Joachim Becker, Fabian Henrici, Stanis Trendelenburg, Maurits Ortmanns, Yiannos Manoli:
A hexagonal Field Programmable Analog Array consisting of 55 digitally tunable OTAs.
2897-2900
Electronic Edition (link) BibTeX
- Dieter Brückmann:
Design and realization of continuous-time wave digital filters.
2901-2904
Electronic Edition (link) BibTeX
- Kostas N. Glaros, Andreas G. Katsiamis, Emmanuel M. Drakakis:
Harmonic vs. geometric mean Sinh integrators in weak inversion CMOS.
2905-2908
Electronic Edition (link) BibTeX
- Vinay Agarwal, Sameer Sonkusale:
A PVT independent subthreshold constant-Gm stage for very low frequency applications.
2909-2912
Electronic Edition (link) BibTeX
- Pasquale Delizia, Marcello De Matteis, Stefano D'Amico, Andrea Baschirotto, Carlos Azeredo Leme, Ricardo Reis:
Design procedure for DVB-T receivers large tuning range LP filter.
2913-2916
Electronic Edition (link) BibTeX
Cryptography Systems
Biosignal Processing & Imaging
- Martino Alessandrini, Luca De Marchi, Nicolo Speciale:
Recursive Least Squares adaptive filters for ultrasonic signal deconvolution.
2937-2940
Electronic Edition (link) BibTeX
- Ioannis Pachnis, Andreas Demosthenous, Mohamad Rahal:
Adaptive EMG neutralization using the modified QT.
2941-2944
Electronic Edition (link) BibTeX
- Ho Yeung Chan, Jie Yuan:
A low-distortion and wide dynamic range CMOS imager for wireless capsule endoscopy.
2945-2948
Electronic Edition (link) BibTeX
- Philippe Levesque, Mohamad Sawan:
New digital quadrature demodulator for real-time hand-held ultrasound medical imaging device.
2949-2952
Electronic Edition (link) BibTeX
- Guilherme Bontorin, Colin Lopez, Yannick Bornat, Noëlle Lewis, Sylvie Renaud, Mathieu C. Garenne, Gwendal Le Masson:
A real-time setup for multisite signal recording and processing in living neural networks.
2953-2956
Electronic Edition (link) BibTeX
Multimedia Forensics & Security
- Chune Zhang, L. L. Cheng, Lee-Ming Cheng, ZhengDing Qiu:
Multipurpose watermarking based on curvelet transform.
2957-2960
Electronic Edition (link) BibTeX
- Byung-Ho Cha, C. C. Jay Kuo:
Advanced colluder detection techniques for OSIFT-based hiding codes.
2961-2964
Electronic Edition (link) BibTeX
- K. J.-L. Christabel, Sabu Emmanuel, Mohan S. Kankanhalli:
Quality-aware GSM speech watermarking.
2965-2968
Electronic Edition (link) BibTeX
- Ji Young Kim, Dong-Hyuck Im, Hae-Yeoun Lee, Heung-Kyu Lee:
Watermarking curves using 2D mesh spectral transform.
2969-2972
Electronic Edition (link) BibTeX
- Qibin Sun, Zhi Li, Xudong Jiang, Alex ChiChung Kot:
An interactive and secure user authentication scheme for mobile devices.
2973-2976
Electronic Edition (link) BibTeX
Statistical Simulation & Optimization of VLSI Circuits
- Peng Xu, Timothy K. Horiuchi, Pamela Abshire:
Stochastic model and simulation of a random number generator circuit.
2977-2980
Electronic Edition (link) BibTeX
- Jitesh Jain, Hong Li, Cheng-Kok Koh, Venkataramanan Balakrishnan:
A fast band matching technique for impedance extraction.
2981-2984
Electronic Edition (link) BibTeX
- Giorgio Biagetti, Paolo Crippa, Alessandro Curzi, Simone Orcioni, Claudio Turchetti:
A novel approach to statistical simulation of ICS affected by non-linear variabilities.
2985-2988
Electronic Edition (link) BibTeX
- Sujan Pandey, Rolf Drechsler, Tudor Murgan, Manfred Glesner:
Process variations aware robust on-chip bus architecture synthesis for MPSoCs.
2989-2992
Electronic Edition (link) BibTeX
- Chi-Un Lei, Hing-Kit Kwan, Yansong Liu, Ngai Wong:
Efficient linear macromodeling via least-squares response approximation.
2993-2996
Electronic Edition (link) BibTeX
Blind Signal Processing
Multimedia Watermarking & Data Hiding
Switching Power Converters I
- Liewi Wang, Ali Davoudi, Juri Jatskevich, Patrick L. Chapman:
Accelerated state-variable modeling of synchronous machine-converter systems.
3037-3040
Electronic Edition (link) BibTeX
- Hirak Patangia, Dennis Gregory:
Sectionalized PWM (S-PWM): A new multilevel modulation strategy.
3041-3044
Electronic Edition (link) BibTeX
- Yu-Huei Lee, Shih-Jung Wang, Chun-Yu Hsieh, Ke-Horng Chen:
Current mode DC-DC buck converters with optimal fast-transient control.
3045-3048
Electronic Edition (link) BibTeX
- Massimiliano Belloni, Edoardo Bonizzoni, Franco Maloberti:
On the design of single-inductor multiple-output DC-DC buck converters.
3049-3052
Electronic Edition (link) BibTeX
- Gerard Villar, Eduard Alarcón, Francesc Guinjoan, Alberto Poveda:
Automatic dead-time adjustment CMOS mixed-signal circuit for a DCM-operated 3-level switching power converter.
3053-3056
Electronic Edition (link) BibTeX
High-Speed Data Transmission
Circuits for Communications
- Jiangli Zhu, Xinmiao Zhang, Zhongfeng Wang:
Novel interpolation architecture for Low-Complexity Chase soft-decision decoding of Reed-Solomon codes.
3078-3081
Electronic Edition (link) BibTeX
- Jyun-Nan Lin, Hsiao-Yun Chen, Ting-Chen Wei, Shyh-Jye Jou:
Symbol and carrier frequency offset synchronization for IEEE802.16e.
3082-3085
Electronic Edition (link) BibTeX
- Yu-Hao Hsu, Ming-Hao Lu, Ping-Ling Yang, Fanta Chen, You-Hung Li, Min-Sheng Kao, Chih-Hsing Lin, Ching-Te Chiu, Jen-Ming Wu, Shuo-Hung Hsu, Yarsun Hsu:
A 28Gbps 4×4 switch with low jitter SerDes using area-saving RF model in 0.13µm CMOS technology.
3086-3089
Electronic Edition (link) BibTeX
- Tyler L. Brandon, John C. Koob, Leendert van den Berg, Zhengang Chen, Amirhossein Alimohammad, Ramkrishna Swamy, Jason Klaus, Stephen Bates, Vincent C. Gaudet, Bruce F. Cockburn, Duncan G. Elliott:
A 600-Mb/s encoder and decoder for low-density parity-check convolutional codes.
3090-3093
Electronic Edition (link) BibTeX
- Chan-Kyung Kim, Bai-Sun Kong, Chil-Gee Lee, Young-Hyun Jun:
CMOS temperature sensor with ring oscillator for mobile DRAM self-refresh control.
3094-3097
Electronic Edition (link) BibTeX
Digital Filters and Filterbanks
- Dirk S. Waldhauser, Leonardo Gomes Baltar, Josef A. Nossek:
Adaptive equalization for filter bank based multicarrier systems.
3098-3101
Electronic Edition (link) BibTeX
- Saad Bouguezel, M. Omair Ahmad, M. N. S. Swamy:
A new blind-block reciprocal parametric transform.
3102-3105
Electronic Edition (link) BibTeX
- Chao Wu, Wei-Ping Zhu, M. N. S. Swamy:
A lattice structure for linear-phase perfect reconstruction filter banks with mirror image symmetric frequency response.
3106-3109
Electronic Edition (link) BibTeX
- Yuichi Tanaka, Masaaki Ikehara, Truong Q. Nguyen:
Oversampled linear-phase perfect reconstruction filter banks with higher-order feasible building blocks: Structure and parameterization.
3110-3113
Electronic Edition (link) BibTeX
- Amir Eghbali, Håkan Johansson, Per Löwenborg:
A farrow-structure-based multi-mode transmultiplexer.
3114-3117
Electronic Edition (link) BibTeX
Continuous-time Filters II
Architectures for Communications
- Kai Zhang, Xinming Huang:
A high SFDR direct digital synthesizer with frequency error free output.
3138-3141
Electronic Edition (link) BibTeX
- Khurram Waheed, Robert B. Staszewski, Sameh Rezeq:
Curse of digital polar transmission: Precise delay alignment in amplitude and phase modulation paths.
3142-3145
Electronic Edition (link) BibTeX
- Ediz Çetin, Sinan Topcu, Izzet Kale, Richard C. S. Morling:
Design and low-power implementation of an adaptive image rejection receiver.
3146-3149
Electronic Edition (link) BibTeX
- Yen-Liang Chen, Cheng-Zhou Zhan, An-Yeu Wu:
Cost-effective echo and NEXT canceller designs for 10GBASE-T ethernet system.
3150-3153
Electronic Edition (link) BibTeX
- Man Yan Kong, J. M. Pierre Langlois, Dhamin Al-Khalili:
Efficient FPGA implementation of complex multipliers using the logarithmic number system.
3154-3157
Electronic Edition (link) BibTeX
Biosensors & Systems
- Timothy G. Constandinou, Julius Georgiou, Charalambos Andreou:
An ultra-low-power micro-optoelectromechanical tilt sensor.
3158-3161
Electronic Edition (link) BibTeX
- Takashi Tokuda, Sho Sato, Hirofumi Yamada, Jun Ohta:
Polarization analyzing CMOS sensor for microchamber/microfluidic system based on image sensor technology.
3162-3165
Electronic Edition (link) BibTeX
- Panavy Pookaiyaudom, Chris Toumazou, F. J. Lidgey:
The chemical current-conveyor: a new microchip biosensor.
3166-3169
Electronic Edition (link) BibTeX
- Nader Safavian, G. Reza Chaji, Karim S. Karim, John A. Rowlands:
A novel hybrid active-passive pixel with correlated double sampling CMOS readout circuit for medical x-ray imaging.
3170-3173
Electronic Edition (link) BibTeX
- Chua-Chin Wang, Chi-Chun Huang, Jun-Han Wu, I-Yu Huang:
A mini-invasive multi-function bladder urine pressure measurement system.
3174-3177
Electronic Edition (link) BibTeX
Turning Liabilities into Assets:
Secure Circuits in CMOS
- Patrick Schaumont, David D. Hwang:
Turning liabilities into assets: Exploiting deep submicron CMOS technology to design secure embedded circuits.
3178-3181
Electronic Edition (link) BibTeX
- Syed Imtiaz Haider, Leyla Nazhandali:
Utilizing sub-threshold technology for the creation of secure circuits.
3182-3185
Electronic Edition (link) BibTeX
- Jorge Guajardo, Sandeep S. Kumar, Geert Jan Schrijen, Pim Tuyls:
Brand and IP protection with physical unclonable functions.
3186-3189
Electronic Edition (link) BibTeX
- Konrad J. Kulikowski, Vyas Venkataraman, Zhen Wang, Alexander Taubin, Mark G. Karpovsky:
Asynchronous balanced gates tolerant to interconnect variability.
3190-3193
Electronic Edition (link) BibTeX
- Erdinç Öztürk, Ghaith Hammouri, Berk Sunar:
Physical unclonable function with tristate buffers.
3194-3197
Electronic Edition (link) BibTeX
Parameter Estimation
Blind Signal Processing for Speech & Audio Signals
- Dorothea Kolossa, Shoko Araki, Marc Delcroix, Tomohiro Nakatani, Reinhold Orglmeister, Shoji Makino:
Missing feature speech recognition in a meeting situation with maximum SNR beamforming.
3218-3221
Electronic Edition (link) BibTeX
- Nikolay D. Gaubitch, Emanuel A. P. Habets, Patrick A. Naylor:
Multimicrophone speech dereverberation using spatiotemporal and spectral processing.
3222-3225
Electronic Edition (link) BibTeX
- Christine Evers, James R. Hopgood, Judith Bell:
Blind speech dereverberation using batch and sequential Monte Carlo methods.
3226-3229
Electronic Edition (link) BibTeX
- Hua Zhang, Da-Zheng Feng, Wei Xing Zheng:
A study of identifibility for blind source separation via non-orthogonal joint diagonalization.
3230-3233
Electronic Edition (link) BibTeX
- Akitoshi Itai, Hiroshi Yasukawa:
Footstep classification using simple speech recognition technique.
3234-3237
Electronic Edition (link) BibTeX
Multimedia Understanding & Recognition
Switching Power Converters II
- Tadashi Suetsugu, Marian K. Kazimierczuk:
ZVS operating frequency versus duty ratio of class E amplifier with nonlinear shunt capacitance.
3258-3261
Electronic Edition (link) BibTeX
- Enric Rodriguez, Francesc Guinjoan, Alberto Poveda, Eduard Alarcón, Abdelali El Aroudi:
Characterizing fast-scale instability in a buck-based switching amplifier for wideband tracking.
3262-3265
Electronic Edition (link) BibTeX
- Rajarshi Paul, Dragan Maksimovic:
Smooth transition and ripple reduction in 4-switch non-inverting buck-boost power converter for WCDMA RF power amplifier.
3266-3269
Electronic Edition (link) BibTeX
- Na Kong, Dong Sam Ha, Jian Li, Fred C. Lee:
Off-time prediction in digital constant on-time modulation for DC-DC converters.
3270-3273
Electronic Edition (link) BibTeX
- Mengmeng Du, Hoi Lee:
A 2.5MHz, 97%-accuracy on-chip current sensor with dynamically-biased shunt feedback for current-mode switching DC-DC converters.
3274-3277
Electronic Edition (link) BibTeX
Cryptography & Security
Crypto & Arithmetic Circuits
- Gang Chen, Guoqiang Bai, Hongyi Chen:
A dual-field elliptic curve cryptographic processor based on a systolic arithmetic unit.
3298-3301
Electronic Edition (link) BibTeX
- Liang Li, Jun Han, Xiaoyang Zeng, Jia Zhao:
A full-custom design of AES SubByte module with signal independent power consumption.
3302-3305
Electronic Edition (link) BibTeX
- Rizwan Mudassir, Mohab Anis, Javid Jaffari:
Switching activity reduction in low power Booth multiplier.
3306-3309
Electronic Edition (link) BibTeX
- Hyejung Kim, Yongsang Kim, Hoi-Jun Yoo:
A 6.3nJ/op low energy 160-bit modulo-multiplier for elliptic curve cryptography processor.
3310-3313
Electronic Edition (link) BibTeX
- Keklik Alptekin Bayam, Berna Ors:
Differential Power Analysis resistant hardware implementation of the RSA cryptosystem.
3314-3317
Electronic Edition (link) BibTeX
Memory Circuits
- Mingjie Lin, Jianying Luo, Yaling Ma:
A low-power monolithically stacked 3D-TCAM.
3318-3321
Electronic Edition (link) BibTeX
- Po-Tsang Huang, Shu-Wei Chang, Wen-Yen Liu, Wei Hwang:
"Green" micro-architecture and circuit co-design for ternary content addressable memory.
3322-3325
Electronic Edition (link) BibTeX
- Roberto Muscedere, Karl Leboeuf:
A dynamic address decode circuit for implementing range addressable look-up tables.
3326-3329
Electronic Edition (link) BibTeX
- Abhijit Sil, Eswar Prasad Kolli, Soumik Ghosh, Magdy Bayoumi:
High speed single-ended pseudo differential current sense amplifier for SRAM cell.
3330-3333
Electronic Edition (link) BibTeX
- Jawar Singh, Jimson Mathew, Saraju P. Mohanty, Dhiraj K. Pradhan:
A nano-CMOS process variation induced read failure tolerant SRAM cell.
3334-3337
Electronic Edition (link) BibTeX
Low-Power Circuits,
Logic & Architectures
- Gang-Neng Sung, Yan-Jhin Ciou, Chua-Chin Wang:
A power-aware 2-dimensional bypassing multiplier using cell-based design flow.
3338-3341
Electronic Edition (link) BibTeX
- Li-Pu Chuang, Ming-Hung Chang, Po-Tsang Huang, Chih-Hao Kan, Wei Hwang:
A 5.2mW all-digital fast-lock self-calibrated multiphase delay-locked loop.
3342-3345
Electronic Edition (link) BibTeX
- Massimo Alioto, Massimo Poli, Santina Rocchi:
A general model for differential power analysis attacks to static logic circuits.
3346-3349
Electronic Edition (link) BibTeX
- Shuo Wang, Jianwei Dai, El-Sayed Hasaneen, Lei Wang, Faquir Jain:
Programmable threshold voltage using quantum dot transistors for low-power mobile computing.
3350-3353
Electronic Edition (link) BibTeX
- Ameet Chavan, Eric MacDonald, Norman Liu, Joseph Neff:
A novel floating gate circuit family with subthreshold voltage swing for ultra-low power operation.
3354-3357
Electronic Edition (link) BibTeX
Arithmetic
SOC
VLSI Systems
- Sami Kirolos, Yehia Massoud, Yehea I. Ismail:
Accurate analytical delay modeling of CMOS clock buffers considering power supply variations.
3394-3397
Electronic Edition (link) BibTeX
- Kok-Leong Chang, Bah-Hwee Gwee, Yuanjin Zheng:
A semi-custom memory design for an asynchronous 8051 microcontroller.
3398-3401
Electronic Edition (link) BibTeX
- Kok-Leong Chang, Yao Zhu, Bah-Hwee Gwee:
De-synchronization of a point-of-sales digital-logic controller.
3402-3405
Electronic Edition (link) BibTeX
- You-Ming Tsao, Ka-Hang Lok, Yu-Cheng Lin, Chih-Hao Sun, Shao-Yi Chien, Liang-Gee Chen:
A cost effective reconfigurable memory for multimedia multithreading streaming architecture.
3406-3409
Electronic Edition (link) BibTeX
Interconnects,
Clock,
Noise Immunity & ESD Protection
Signal Processing & Video
- Li-Rong Wang, Yi-Wei Chiu, Chia-Lin Hu, Ming-Hsien Tu, Shyh-Jye Jou, Chung-Len Lee:
A reconfigurable MAC architecture implemented with mixed-Vt standard cell library.
3426-3429
Electronic Edition (link) BibTeX
- Weining Hao, Martin Radetzki:
A data traffic efficient H.264 deblocking IP.
3430-3433
Electronic Edition (link) BibTeX
- Gian-Carlo Cardarilli, Luca Di Nunzio, Marco Re, Alberto Nannarelli:
ADAPTO: full-adder based reconfigurable architecture for bit level operations.
3434-3437
Electronic Edition (link) BibTeX
- Shih-Hao Ou, Yi Cho, Tay-Jyi Lin, Chih-Wei Liu:
Improving datapathutilization of programmable DSP with composite functional units.
3438-3441
Electronic Edition (link) BibTeX
Scalable,
Distributed & Multiview Video Coding
- Yuwen Wu, Yongying Gao, Ying Chen:
Bit-depth scalable coding based on macroblock level inter-layer prediction.
3442-3445
Electronic Edition (link) BibTeX
- Dong Bo Min, Donghyun Kim, SangUn Yuri, Kwanghoon Sohn:
Freeview rendering with trinocular camera.
3446-3449
Electronic Edition (link) BibTeX
- Hui Lv, Hongkai Xiong, Yongsheng Zhang, Zhihai He:
Side information generation with constrained relaxation for distributed multi-view video coding.
3450-3453
Electronic Edition (link) BibTeX
- Alessandro J. S. Dutra, William A. Pearlman, Eduardo A. B. da Silva:
Hyperspectral image coding with LVQ-SPECK.
3454-3457
Electronic Edition (link) BibTeX
- Bo Wu, Xiangyang Ji, Debin Zhao, Wen Gao:
Wavelet based distributed video coding with spatial scalability.
3458-3461
Electronic Edition (link) BibTeX
Visual Signal Processing & Hardware
- Jun-Young Lee, Jae-Jin Lee, MooKyoung Jeong, NakWoong Eum, SeongMo Park:
A 100MHz ASIP (application specific instruction processor) for CAVLC of H.264/AVC decoder.
3462-3465
Electronic Edition (link) BibTeX
- Tung-Hsing Wu, Guan-Lin Wu, Ching-Yi Chen, Shao-Yi Chien:
Enhanced temporal error concealment algorithm with edge-sensitive processing order.
3466-3469
Electronic Edition (link) BibTeX
- Shujie Liu, Ying Chen, Ye-Kui Wang, Moncef Gabbouj, Miska M. Hannuksela, Houqiang Li:
Frame loss error concealment for multiview video coding.
3470-3473
Electronic Edition (link) BibTeX
- Ci Wang, Yap-Peng Tan, Chan Kap Luk:
Numerical error analysis for super-resolution reconstruction.
3474-3477
Electronic Edition (link) BibTeX
- Jing-Chu Chan, Nelson Yen-Chung Chang, Tian-Sheuan Chang:
ISID : In-order scan and indexed diffusion segmentation algorithm for stereo vision.
3478-3481
Electronic Edition (link) BibTeX
Rate Control & Encoder Optimization
- Weiyao Lin, David M. Baylon, Krit Panusopone, Ming-Ting Sun:
Fast sub-pixel motion estimation and mode decision for H.264.
3482-3485
Electronic Edition (link) BibTeX
- Tianxiao Ye, Yap-Peng Tan, Ping Xue:
Efficient all-zero block detection algorithm for H.264 integer transform.
3486-3489
Electronic Edition (link) BibTeX
- Yali Liu, Xiaoan Lu, Cristina Gomila, Qian Xu:
A novel fine rate control algorithm with adaptive rounding offset.
3490-3493
Electronic Edition (link) BibTeX
- Chih-Chung Hsu, Hsuan-Ting Chang:
Accelerating vector quantization of images using modified run length coding for adaptive block representation and difference measurement.
3494-3497
Electronic Edition (link) BibTeX
- Dongdong Zhang, Zhenzhong Chen, King Ngi Ngan:
Constant distortion rate control for H.264/AVC high definition videos with scene change.
3498-3501
Electronic Edition (link) BibTeX
Advanced Video Coding Related Techniques
- Byung-Gyu Kim, Chang-Sik Cho, Tae-Jeong Kim:
Fast selective-intra mode search algorithm based on macro-block tracking for inter-frames in the H.264/AVC video standard.
3502-3505
Electronic Edition (link) BibTeX
- Seonpil Kim, Heejun Shim, Chong-Min Kyung:
Data Reuse method between Heterogeneous Partitions (DRHP) in H.264/AVC motion compensator.
3506-3509
Electronic Edition (link) BibTeX
- Byung Joon Oh, Chang Wen Chen:
Performance evaluation of H.264 video over ad hoc networks based on dual mode IEEE 802.11B/G and EDCA MAC architecture.
3510-3513
Electronic Edition (link) BibTeX
- Peng-Jung Wu, Chung-Nan Lee, Victor Gau, Jenq-Neng Hwang:
Overcoming burst packet loss in peer-to-peer live streaming systems.
3514-3517
Electronic Edition (link) BibTeX
- Jiayi Zhu, Peilin Liu, Dajiang Zhou:
An SDRAM controller optimized for high definition video coding application.
3518-3521
Electronic Edition (link) BibTeX
Intelligent Videos & Graphics
- Hua-Tsiing Chen, Ming-Ho Hsiao, Hsuan-Sheng Chen, Wen-Jim Tsai, Suh-Yin Lee:
A baseball exploration system using spatial pattern recognition.
3522-3525
Electronic Edition (link) BibTeX
- Hitoshi Hayakawa, Tadashi Shibata:
Spatiotemporal projection of motion field sequence for generating feature vectors in gesture perception.
3526-3529
Electronic Edition (link) BibTeX
- Jing-Ying Chang, Tzu-Heng Wang, Shao-Yi Chien, Liang-Gee Chen:
Spatial-temporal consistent labeling for multi-camera multi-object surveillance systems.
3530-3533
Electronic Edition (link) BibTeX
- Jeong-Hyun Kim, Kyusik Chung, Young-Jun Kim, Seok-Hoon Kim, Lee-Sup Kim:
Clipping-ratio-independent 3D graphics clipping engine by dual-thread algorithm.
3534-3537
Electronic Edition (link) BibTeX
- Ying Yang, Shouxun Lin, Yongdong Zhang, Sheng Tang:
A statistical framework for replay detection in soccer video.
3538-3541
Electronic Edition (link) BibTeX
Image & Video Processing & Recognition
Video Coding
- Weisi Lin, Jinghong Zheng, Li Dong:
Recover image coding loss with LMS filtering.
3562-3565
Electronic Edition (link) BibTeX
- Rhandley D. Cajote, Supavadee Aramvith, Rowena Cristina L. Guevara, Yoshikazu Miyanaga:
FMO slice group maps using spatial and temporal indicators for H.264 wireless video transmission.
3566-3569
Electronic Edition (link) BibTeX
- Bin Zhan, Baochun Hou, Reza Sotudeh:
Fast mode selection to reduce the encoding complexity of H.264/AVC.
3570-3573
Electronic Edition (link) BibTeX
- Yasser Ismail, Jason McNeely, Mohsen Shaaban, Magdy A. Bayoumi:
A generalized fast motion estimation algorithm using external and internal stop search techniques for H.264 video coding standard.
3574-3577
Electronic Edition (link) BibTeX
- Lei Yang, Hongkai Xiong:
Redundant multiscale structure coding for error resilient video completion.
3578-3581
Electronic Edition (link) BibTeX
Copyright © Sat May 16 23:25:02 2009
by Michael Ley (ley@uni-trier.de)