dblp.uni-trier.dewww.uni-trier.de

Naofumi Takagi

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
36EEKazuhiro Nakamura, Masatoshi Yamamoto, Kazuyoshi Takagi, Naofumi Takagi: Fast and memory efficient VLSI architecture for output probability computations of HMM-based recognition systems. ISCAS 2008: 1688-1691
35EEMarcelo E. Kaihara, Naofumi Takagi: Bipartite Modular Multiplication Method. IEEE Trans. Computers 57(2): 157-164 (2008)
34EENaofumi Takagi, Kazuaki Murakami, Akira Fujimaki, Nobuyuki Yoshikawa, Koji Inoue, Hiroaki Honda: Proposal of a Desk-Side Supercomputer with Reconfigurable Data-Paths Using Rapid Single-Flux-Quantum Circuits. IEICE Transactions 91-C(3): 350-355 (2008)
2007
33EEKatsuki Kobayashi, Naofumi Takagi, Kazuyoshi Takagi: An Algorithm for Inversion in GF(2^m) Suitable for Implementation Using a Polynomial Multiply Instruction on GF(2). IEEE Symposium on Computer Arithmetic 2007: 105-112
32EEKoji Obata, Kazuyoshi Takagi, Naofumi Takagi: Logic Synthesis Method for Dual-Rail RSFQ Digital Circuits Using Root-Shared Binary Decision Diagrams. IEICE Transactions 90-A(1): 257-266 (2007)
31EEKoji Obata, Kazuyoshi Takagi, Naofumi Takagi: A Method of Sequential Circuit Synthesis Using One-Hot Encoding for Single-Flux-Quantum Digital Circuits. IEICE Transactions 90-C(12): 2278-2284 (2007)
2006
30EENaofumi Takagi, Shunsuke Kadowaki, Kazuyoshi Takagi: A Hardware Algorithm for Integer Division Using the SD2 Representation. IEICE Transactions 89-A(10): 2874-2881 (2006)
29EEFumio Kumazawa, Naofumi Takagi: Hardware Algorithm for Computing Reciprocal of Euclidean Norm of a 3-D Vector. IEICE Transactions 89-A(6): 1799-1806 (2006)
2005
28EEMarcelo E. Kaihara, Naofumi Takagi: Bipartite Modular Multiplication. CHES 2005: 201-210
27EENaofumi Takagi, Shunsuke Kadowaki, Kazuyoshi Takagi: A Hardware Algorithm for Integer Division. IEEE Symposium on Computer Arithmetic 2005: 140-146
26EEMarcelo E. Kaihara, Naofumi Takagi: A Hardware Algorithm for Modular Multiplication/Division. IEEE Trans. Computers 54(1): 12-21 (2005)
25EEMarcelo E. Kaihara, Naofumi Takagi: A Hardware Algorithm for Modular Multiplication/Division Based on the Extended Euclidean Algorithm. IEICE Transactions 88-A(12): 3610-3617 (2005)
2004
24 Nhon T. Quach, Naofumi Takagi, Michael J. Flynn: Systematic IEEE rounding method for high-speed floating-point multipliers. IEEE Trans. VLSI Syst. 12(5): 511-521 (2004)
2003
23EEMarcelo E. Kaihara, Naofumi Takagi: A VLSI Algorithm for Modular Multiplication/Division. IEEE Symposium on Computer Arithmetic 2003: 220-227
2002
22EENaofumi Takagi: Multiple-Valued-Digit Number Representations in Arithmetic Circuit Algorithms. ISMVL 2002: 224-
21EEHiroto Yasuura, Naofumi Takagi, Srivaths Ravi, Michael Torla, Catherine H. Gebotys: Special Session: Security on SoC. ISSS 2002: 192-194
2001
20EENaofumi Takagi: A Hardware Algorithm for Computing Reciprocal Square Root. IEEE Symposium on Computer Arithmetic 2001: 94-100
19EENaofumi Takagi, Jun-ichi Yoshiki, Kazuyoshi Takagi: A Fast Algorithm for Multiplicative Inversion in GF(2m) Using Normal Basis. IEEE Trans. Computers 50(5): 394-398 (2001)
2000
18EENaofumi Takagi, Seiji Kuwahara: A VLSI Algorithm for Computing the Euclidean Norm of a 3D Vector. IEEE Trans. Computers 49(10): 1074-1082 (2000)
17EEAkira Higuchi, Naofumi Takagi: A fast addition algorithm for elliptic curve arithmetic in GF(2n) using projective coordinates. Inf. Process. Lett. 76(3): 101-103 (2000)
1999
16EENaofumi Takagi, Seiji Kuwahara: Digit-Recurrence Algorithm for Computing Euclidean Norm of a 3-D Vector. IEEE Symposium on Computer Arithmetic 1999: 86-
15EENaofumi Takagi, Takashi Horiyama: A High-Speed Reduced-Size Adder Under Left-to-Right Input Arrival. IEEE Trans. Computers 48(1): 76-80 (1999)
1998
14 Naofumi Takagi: Powering by a Table Look-Up and a Multiplication with Operand Modification. IEEE Trans. Computers 47(11): 1216-1222 (1998)
1997
13EENaofumi Takagi: Generating a Power of an Operand by a Table Look-up and a Multiplication. IEEE Symposium on Computer Arithmetic 1997: 126-131
12 Massayuki Ito, Naofumi Takagi, Shuzo Yajima: Efficient Initial Approximation for Multiplicative Division and Square Root by a Multiplication with Operand Modification. IEEE Trans. Computers 46(4): 495-498 (1997)
11 Takafumi Hamano, Naofumi Takagi, Shuzo Yajima, Franco P. Preparata: O(n)-Depth Modular Exponentiation Circuit Algorithm. IEEE Trans. Computers 46(6): 701-704 (1997)
1996
10EEMasayuki Ito, Naofumi Takagi, Shuzo Yajima: Square Rooting by Iterative Multiply-Additions. Inf. Process. Lett. 60(5): 267-269 (1996)
1995
9EEHannes Hassler, Naofumi Takagi: Function Evaluation by Table Look-up and Addition. IEEE Symposium on Computer Arithmetic 1995: 10-16
8EETakafumi Hamano, Naofumi Takagi, Shuzo Yajima, Franco P. Preparata: O(n)-depth circuit algorithm for modular exponentiation. IEEE Symposium on Computer Arithmetic 1995: 188-192
7EEMasayuki Ito, Naofumi Takagi, Shuzo Yajima: Efficient Initial Approximation and Fast Converging Methods for Division and Square Root. IEEE Symposium on Computer Arithmetic 1995: 2-8
1992
6 Naofumi Takagi, Shuzo Yajima: Modular Multiplication Hardware Algorithms with a Redundant Representation and Their Application to RSA Cryptosystem. IEEE Trans. Computers 41(7): 887-891 (1992)
5 Naofumi Takagi: A Radix-4 Modular Multiplication Hardware Algorithm for Modular Exponentiation. IEEE Trans. Computers 41(8): 949-956 (1992)
1991
4 Naofumi Takagi, Tohru Asada, Shuzo Yajima: Redundant CORDIC Methods with a Constant Scale Factor for Sine and Cosine Computation. IEEE Trans. Computers 40(9): 989-995 (1991)
1987
3 Naofumi Takagi, Shuzo Yajima: On-Line Error-Detectable High-Speed Multiplier Using Redundant Binary Representation and Three-Rail Logic. IEEE Trans. Computers 36(11): 1310-1317 (1987)
1985
2 Naofumi Takagi, Hiroto Yasuura, Shuzo Yajima: High-Speed VLSI Multiplication Algorithm with a Redundant Binary Addition Tree. IEEE Trans. Computers 34(9): 789-796 (1985)
1982
1 Hiroto Yasuura, Naofumi Takagi, Shuzo Yajima: The Parallel Enumeration Sorting Scheme for VLSI. IEEE Trans. Computers 31(12): 1192-1201 (1982)

Coauthor Index

1Tohru Asada [4]
2Michael J. Flynn [24]
3Akira Fujimaki [34]
4Catherine H. Gebotys [21]
5Takafumi Hamano [8] [11]
6Hannes Hassler [9]
7Akira Higuchi [17]
8Hiroaki Honda [34]
9Takashi Horiyama [15]
10Koji Inoue [34]
11Masayuki Ito [7] [10]
12Massayuki Ito [12]
13Shunsuke Kadowaki [27] [30]
14Marcelo E. Kaihara [23] [25] [26] [28] [35]
15Katsuki Kobayashi [33]
16Fumio Kumazawa [29]
17Seiji Kuwahara [16] [18]
18Kazuaki Murakami [34]
19Kazuhiro Nakamura [36]
20Koji Obata [31] [32]
21Franco P. Preparata [8] [11]
22Nhon T. Quach [24]
23Srivaths Ravi [21]
24Kazuyoshi Takagi [19] [27] [30] [31] [32] [33] [36]
25Michael Torla [21]
26Shuzo Yajima [1] [2] [3] [4] [6] [7] [8] [10] [11] [12]
27Masatoshi Yamamoto [36]
28Hiroto Yasuura [1] [2] [21]
29Nobuyuki Yoshikawa [34]
30Jun-ichi Yoshiki [19]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)