dblp.uni-trier.dewww.uni-trier.de

Takafumi Aoki

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2009
87EEHironori Mitake, Kazuyuki Asano, Takafumi Aoki, Marc Salvati, Makoto Sato, Shoichi Hasegawa: Physics-driven Multi Dimensional Keyframe Animation for Artist-directable Interactive Character. Comput. Graph. Forum 28(2): 279-287 (2009)
2008
86EEFumihiro Kato, Mina Shiina, Takashi Tokizaki, Hironori Mitake, Takafumi Aoki, Shoichi Hasegawa: Culinary art designer. Advances in Computer Entertainment Technology 2008: 398
85EEAkashi Satoh, Takeshi Sugawara, Naofumi Homma, Takafumi Aoki: High-Performance Concurrent Error Detection Scheme for AES Hardware. CHES 2008: 100-112
84EENaofumi Homma, Atsushi Miyamoto, Takafumi Aoki, Akashi Satoh, Adi Shamir: Collision-Based Power Analysis of Modular Exponentiation Using Chosen-Message Pairs. CHES 2008: 15-29
83EEAtsushi Miyamoto, Naofumi Homma, Takafumi Aoki, Akashi Satoh: Chosen-message SPA attacks against FPGA-based RSA hardware implementations. FPL 2008: 35-40
82EEAtsushi Miyamoto, Naofumi Homma, Takafumi Aoki, Akashi Satoh: Systematic design of high-radix Montgomery multipliers for RSA processors. ICCD 2008: 416-421
81EEKazuyuki Miyazawa, Takafumi Aoki: A robot-based 3D body scanning system using passive stereo vision. ICIP 2008: 305-308
80EEKoji Kobayashi, Atsushi Katsumata, Koichi Ito, Takafumi Aoki: A practical method to reducing metal artifact for dental CT scanners. ICPR 2008: 1-4
79EESatoshi Iitsuka, Koichi Ito, Takafumi Aoki: A practical palmprint recognition algorithm using phase information. ICPR 2008: 1-4
78EEKoichi Ito, Takafumi Aoki, Eiko Kosuge, Ryota Kawamata, Isamu Kashima: Medical image registration using Phase-Only Correlation for distorted dental radiographs. ICPR 2008: 1-4
77EEYuki Watanabe, Naofumi Homma, Takafumi Aoki, Tatsuo Higuchi: Arithmetic module generator with algorithm optimization capability. ISCAS 2008: 1796-1799
76EETakeshi Sugawara, Naofumi Homma, Takafumi Aoki, Akashi Satoh: High-performance ASIC implementations of the 128-bit block cipher CLEFIA. ISCAS 2008: 2925-2928
75EEAtsushi Miyamoto, Naofumi Homma, Takafumi Aoki, Akashi Satoh: Enhanced power analysis attack using chosen message against RSA hardware implementations. ISCAS 2008: 3282-3285
74EEYuki Watanabe, Naofumi Homma, Katsuhiko Degawa, Takafumi Aoki, Tatsuo Higuchi: High-Level Design of Multiple-Valued Arithmetic Circuits Based on Arithmetic Description Language. ISMVL 2008: 112-117
73EEFumihiro Kato, Mina Shiina, Takashi Tokizaki, Hironori Mitake, Takafumi Aoki, Shoichi Hasegawa: Culinary art designer. SIGGRAPH Posters 2008: 132
72EESeunghyun Woo, Takafumi Aoki, Hironori Mitake, Naoki Hashimoto, Makoto Sato: Heaven's mirror: mirror illusion realized outside of the mirror. SIGGRAPH Posters 2008: 20
71EETakeshi Sugawara, Naofumi Homma, Takafumi Aoki, Akashi Satoh: Compact ASIC Architectures for the 512-Bit Hash Function Whirlpool. WISA 2008: 28-40
70EENaofumi Homma, Sei Nagashima, Takeshi Sugawara, Takafumi Aoki, Akashi Satoh: A High-Resolution Phase-Based Waveform Matching and Its Application to Side-Channel Attacks. IEICE Transactions 91-A(1): 193-202 (2008)
69EEKoichi Ito, Akira Nikaido, Takafumi Aoki, Eiko Kosuge, Ryota Kawamata, Isamu Kashima: A Dental Radiograph Recognition System Using Phase-Only Correlation for Human Identification. IEICE Transactions 91-A(1): 298-305 (2008)
68EEKoichi Ito, Takafumi Aoki, Hiroshi Nakajima, Koji Kobayashi, Tatsuo Higuchi: A Palmprint Recognition Algorithm Using Phase-Only Correlation. IEICE Transactions 91-A(4): 1023-1030 (2008)
67EEAkihiro Hayasaka, Takuma Shibahara, Koichi Ito, Takafumi Aoki, Hiroshi Nakajima, Koji Kobayashi: A Passive 3D Face Recognition System and Its Performance Evaluation. IEICE Transactions 91-A(8): 1974-1981 (2008)
2007
66EEYuki Watanabe, Naofumi Homma, Takafumi Aoki, Tatsuo Higuchi: Application of symbolic computer algebra to arithmetic circuit verification. ICCD 2007: 25-32
65EESei Nagashima, Koichi Ito, Takafumi Aoki, Hideaki Ishii, Koji Kobayashi: A High-Accuracy Rotation Estimation Algorithm Based on 1D Phase-Only Correlation. ICIAR 2007: 210-221
64EETakuma Shibahara, Takafumi Aoki, Hiroshi Nakajima, Koji Kobayashi: A Sub-Pixel Stereo Correspondence Technique Based on 1D Phase-only Correlation. ICIP (5) 2007: 221-224
63EEAkira Nikaido, Koichi Ito, Takafumi Aoki, Eiko Kosuge, Ryota Kawamata: A Phase-Based Image Registration Algorithm for Dental Radiograph Identification. ICIP (6) 2007: 229-232
62EEAkashi Satoh, Takeshi Sugawara, Takafumi Aoki: High-Speed Pipelined Hardware Architecture for Galois Counter Mode. ISC 2007: 118-129
61EESei Nagashima, Naofumi Homma, Yuichi Imai, Takafumi Aoki, Akashi Satoh: DPA Using Phase-Based Waveform Matching against Random-Delay Countermeasure. ISCAS 2007: 1807-1810
60EEAtsushi Miyamoto, Naofumi Homma, Takafumi Aoki, Akashi Satoh: SPA against an FPGA-Based RSA Implementation with a High-Radix Montgomery Multiplier. ISCAS 2007: 1847-1850
59EETakeshi Sugawara, Naofumi Homma, Takafumi Aoki, Akashi Satoh: A High-Performance ASIC Implementation of the 64-bit Block Cipher CAST-128. ISCAS 2007: 1859-1862
58EENaofumi Homma, Katsuhiko Degawa, Takafumi Aoki, Tatsuo Higuchi: Algorithm-Level Optimization of Multiple-Valued Arithmetic Circuits Using Counter Tree Diagrams. ISMVL 2007: 31
2006
57EENaofumi Homma, Sei Nagashima, Yuichi Imai, Takafumi Aoki, Akashi Satoh: High-Resolution Side-Channel Attack Using Phase-Based Waveform Matching. CHES 2006: 187-200
56EEKoichi Ito, Ayumi Morita, Takafumi Aoki, Hiroshi Nakajima, Koji Kobayashi, Tatsuo Higuchi: A Fingerprint Recognition Algorithm Combining Phase-Based Image Matching and Feature-Based Matching. ICB 2006: 316-325
55EEHiroshi Nakajima, Koji Kobayashi, Makoto Morikawa, Atsushi Katsumata, Koichi Ito, Takafumi Aoki, Tatsuo Higuchi: Fast and Robust Fingerprint Identification Algorithm and Its Application to Residential Access Controller. ICB 2006: 326-333
54EEKazuyuki Miyazawa, Koichi Ito, Takafumi Aoki, Koji Kobayashi, Hiroshi Nakajima: A Phase-Based Iris Recognition Algorithm. ICB 2006: 356-365
53EEKoichi Ito, Takafumi Aoki, Hiroshi Nakajima, Koji Kobayashi, Tatsuo Higuchi: A Palmprint Recognition Algorithm using Phase-Based Image Matching. ICIP 2006: 2669-2672
52EEKazuyuki Miyazawa, Koichi Ito, Takafumi Aoki, Koji Kobayashi, Atsushi Katsumata: An Iris Recognition System Using Phase-Based Image Matching. ICIP 2006: 325-328
51EEKatsuhiko Degawa, Takafumi Aoki, Tatsuo Higuchi, Hiroshi Inokawa, Katsuhiko Nishiguchi, Yasuo Takahashi: A High-Density Ternary Content-Addressable Memory Using Single-Electron Transistors. ISMVL 2006: 19
50EENaofumi Homma, Takafumi Aoki, Tatsuo Higuchi: Algorithm-level interpretation of fast adder structures in binary and multiple-valued logic. ISMVL 2006: 2
49EENaofumi Homma, Yuki Watanabe, Takafumi Aoki, Tatsuo Higuchi: Formal Design of Arithmetic Circuits Based on Arithmetic Description Language. IEICE Transactions 89-A(12): 3500-3509 (2006)
48EEMohammad Abdul Muquit, Takuma Shibahara, Takafumi Aoki: A High-Accuracy Passive 3D Measurement System Using Phase-Based Image Matching. IEICE Transactions 89-A(3): 686-697 (2006)
47EEKoichi Ito, Masahiko Hiratsuka, Takafumi Aoki, Tatsuo Higuchi: A Shortest Path Search Algorithm Using an Excitable Digital Reaction-Diffusion System. IEICE Transactions 89-A(3): 735-743 (2006)
46EENaofumi Homma, Takafumi Aoki, Tatsuo Higuchi: Systematic Interpretation of Redundant Arithmetic Adders in Binary and Multiple-Valued Logic. IEICE Transactions 89-C(11): 1645-1654 (2006)
2005
45EEKoichi Ito, Ayumi Morita, Takafumi Aoki, Tatsuo Higuchi, Hiroshi Nakajima, Koji Kobayashi: A fingerprint recognition algorithm using phase-based image matching for low-quality fingerprints. ICIP (2) 2005: 33-36
44EEKazuyuki Miyazawa, Koichi Ito, Takafumi Aoki, Koji Kobayashi, Hiroshi Nakajima: An efficient iris recognition algorithm using phase-based image matching. ICIP (2) 2005: 49-52
43EENaohode Uchida, Takuma Shibahara, Takafumi Aoki, Hiroshi Nakajima, Koji Kobayashi: 3D face recognition using passive stereo vision. ICIP (2) 2005: 950-953
42EEKatsuhiko Degawa, Takafumi Aoki, Hiroshi Inokawa, Tatsuo Higuchi, Yasuo Takahashi: A Two-Bit-per-Cell Content-Addressable Memory Using Single-Electron Transistors. ISMVL 2005: 32-38
41EEMochamad Hariadi, Hui Chien Loy, Takafumi Aoki: Semi-Automatic Video Object Segmentation Using LVQ with Color and Spatial Features. IEICE Transactions 88-D(7): 1553-1560 (2005)
2004
40EENaofumi Homma, Takafumi Aoki, Tatsuo Higuchi: Multiplier Block Synthesis Using Evolutionary Graph Generation. Evolvable Hardware 2004: 79-82
39 Naofumi Homma, Jun Sakiyama, Taihei Wakamatsu, Takafumi Aoki, Tatsuo Higuchi: A systematic approach for analyzing fast addition algorithms using counter tree diagrams. ISCAS (5) 2004: 197-200
38EEKatsuhiko Degawa, Takafumi Aoki, Tatsuo Higuchi, Hiroshi Inokawa, Yasuo Takahashi: A Single-Electron-Transistor Logic Gate Family and Its Application - Part I: Basic Components for Binary, Multiple-Valued and Mixed-Mode Logic. ISMVL 2004: 262-268
37EEHiroshi Inokawa, Yasuo Takahashi, Katsuhiko Degawa, Takafumi Aoki, Tatsuo Higuchi: A Single-Electron-Transistor Logic Gate Family and Its Application - Part II: Design and Simulation of a 7-3 Parallel Counter with Linear Summation and Multiple-Valued Latch Functions. ISMVL 2004: 269-274
36EEKazuya Ishida, Naofumi Homma, Takafumi Aoki, Tatsuo Higuchi: Design and Verification of Parallel Multipliers Using Arithmetic Description Language: ARITH. ISMVL 2004: 334-339
35EEMasanori Natsui, Naofumi Homma, Takafumi Aoki, Tatsuo Higuchi: Topology-Oriented Design of Analog Circuits Based on Evolutionary Graph Generation. PPSN 2004: 342-351
2003
34EENaofumi Homma, Masanori Natsui, Takafumi Aoki, Tatsuo Higuchi: VLSI circuit design using an object-oriented framework of evolutionary graph generation system. IEEE Congress on Evolutionary Computation (1) 2003: 115-122
33EEKoichi Ito, Takafumi Aoki, Tatsuo Higuchi: Design of a digital reaction-diffusion system for restoring blurred fingerprint images. ISCAS (4) 2003: 77-80
32EENaofumi Homma, Takafumi Aoki, Makoto Motegi, Tatsuo Higuchi: A framework of evolutionary graph generation system and its application to circuit synthesis. ISCAS (5) 2003: 201-204
31EEKatsuhiko Degawa, Takafumi Aoki, Tatsuo Higuchi: A Field-Programmable Digital Filter Chip Using Multiple-Valued Current-Mode Logic. ISMVL 2003: 213-220
30EEJun Sakiyama, Takafumi Aoki, Tatsuo Higuchi: Counter Tree Diagrams for Design and Analysis of Fast Addition Algorithms. ISMVL 2003: 91-98
29EETakafumi Aoki, Naofumi Homma, Tatsuo Higuchi: Evolutionary Synthesis of Arithmetic Circuit Structures. Artif. Intell. Rev. 20(3-4): 199-232 (2003)
2002
28EEMochamad Hariadi, Akio Harada, Takafumi Aoki, Tatsuo Higuchi: Pixel-wise human motion segmentation using learning vector quantization. ICARCV 2002: 1439-1444
27EEYasushi Yuminaka, Tatsuya Morishita, Takafumi Aoki, Tatsuo Higuchi: Multiple-Valued Data Recovery Techniques for Band-Limited Channels in VLSI. ISMVL 2002: 54-60
26EEMasanori Natsui, Takafumi Aoki, Tatsuo Higuchi: Parallel Evolutionary Graph Synthesis on a PC Cluster and Its Application to Multiple-Valued Circuit Synthesis. ISMVL 2002: 96-
25EEMakoto Motegi, Naofumi Homma, Takafumi Aoki, Tatsuo Higuchi: Evolutionary Graph Generation System and Its Application to Bit-Serial Arithmetic Circuit Synthesis. PPSN 2002: 831-840
24 Dingjun Chen, Takafumi Aoki, Naofumi Homma, Toshiki Terasaki, Tatsuo Higuchi: Graph-based evolutionary design of arithmetic circuits. IEEE Trans. Evolutionary Computation 6(1): 86-100 (2002)
2001
23EENaofumi Homma, Takafumi Aoki, Tatsuo Higuchi: Evolutionary graph generation system with transmigration capability for arithmetic circuit design. ISCAS (5) 2001: 171-174
22 Masahiko Hiratsuka, Takafumi Aoki, Tatsuo Higuchi: A Model of Reaction-Diffusion Cellular Automata for Massively Parallel Molecular Computing. ISMVL 2001: 247-252
21 Masanori Natsui, Takafumi Aoki, Tatsuo Higuchi: Synthesis of Multiple-Valued Arithmetic Circuits Using Evolutionary Graph Generation. ISMVL 2001: 253-258
2000
20EETakafumi Aoki, Kimihiko Nakazawa, Tatsuo Higuchi: High-Radix Parallel VLSI Dividers without Using Quotient Digit Selection Tables. ISMVL 2000: 345-
19EEYasushi Yuminaka, Osamu Katoh, Yoshisat Sasaki, Takafumi Aoki, Tatsuo Higuchi: An Efficient Data Transmission Technique for VLSI Systems Based on Multiple-Valued Code-Division Multiple Access. ISMVL 2000: 430-437
1999
18EETakafumi Aoki, Ken-ichi Hoshi, Tatsuo Higuchi: Redundant Complex Arithmetic and Its Application to Complex Multiplier Design. ISMVL 1999: 200-207
1998
17EETakafumi Aoki, Tatsuo Higuchi: Set-Valued Logic Circuits for Next Generation VLSI Architectures. ISMVL 1998: 140-147
16EEYasushi Yuminaka, Yoshisat Sasaki, Takafumi Aoki, Tatsuo Higuchi: Wave-Parallel Computing Systems using Multiple-Valued Pseudo-Orthogonal Sequences. ISMVL 1998: 148-
1997
15EETakafumi Aoki, Hiroaki Amada, Tatsuo Higuchi: Real/Complex Reconfigurable Arithmetic Using Redundant Complex Number Systems. IEEE Symposium on Computer Arithmetic 1997: 200-207
14EEMasahiko Hiratsuka, Takafumi Aoki, Tatsuo Higuchi: Enzyme Transistor Circuits for Biomolecular Computing. ISMVL 1997: 47-
1996
13EEYasushi Yuminaka, Yoshisato Sasaki, Takafumi Aoki, Tatsuo Higuchi: Wave-Parallel Computing Technique for Neural Networks Based on Amplitude-Modulated Waves. ISMVL 1996: 210-215
1995
12 Shinichi Shionoya, Takafumi Aoki, Tatsuo Higuchi: Multiwave Interconnection Networks for MCM-based Parallel Processing. Euro-Par 1995: 593-607
11EEY. Ohi, Takafumi Aoki, Tatsuo Higuchi: Redundant Complex Number Systems. ISMVL 1995: 14-19
10EES. Sakurai, Takafumi Aoki, Tatsuo Higuchi: Wire-Free Computing Circuits Using Optical Wave-Casting. ISMVL 1995: 8-13
1994
9 Yasushi Yuminaka, Takafumi Aoki, Tatsuo Higuchi: Design of Wave-Parallel Computing Circuits for Densely Connected Architectures. ISMVL 1994: 207-214
8 Takashi Takimoto, Takafumi Aoki, Tatsuo Higuchi: Design of Multiplex Interconnection Networks for Massively Parallel Computing Systems. ISMVL 1994: 231-238
1993
7 Takafumi Aoki: Dreams for New-Device-Based Superchips: From Transistors to Enzymes. ISMVL 1993: 140-149
6 Takafumi Aoki, Tatsuo Higuchi: Impact of Interconnection-Free Biomolecular Computing. ISMVL 1993: 271-276
5 Yasushi Yuminaka, Takafumi Aoki, Tatsuo Higuchi: Design of Set-Valued Logic Networks for Wave-Parallel Computing. ISMVL 1993: 277-282
1992
4 Shuichi Maeda, Takafumi Aoki, Tatsuo Higuchi: Set-Valued Logic Networks Based on Optical Wavelength Multiplexing. ISMVL 1992: 282-290
3 Takafumi Aoki, Michitaka Kameyama, Tatsuo Higuchi: Interconnection-Free Biomolecular Computing. IEEE Computer 25(11): 41-50 (1992)
1991
2 Takafumi Aoki, Michitaka Kameyama, Tatsuo Higuchi: Design of Interconnection-Free Biomolecular Computing System. ISMVL 1991: 173-180
1 Yasushi Yuminaka, Takafumi Aoki, Tatsuo Higuchi: Design of a Set Logic Network Based on Frequency Multiplexing and Its Applications to Image Processing. ISMVL 1991: 8-15

Coauthor Index

1Hiroaki Amada [15]
2Kazuyuki Asano [87]
3Dingjun Chen [24]
4Katsuhiko Degawa [31] [37] [38] [42] [51] [58] [74]
5Akio Harada [28]
6Mochamad Hariadi [28] [41]
7Shoichi Hasegawa [73] [86] [87]
8Naoki Hashimoto [72]
9Akihiro Hayasaka [67]
10Tatsuo Higuchi [1] [2] [3] [4] [5] [6] [8] [9] [10] [11] [12] [13] [14] [15] [16] [17] [18] [19] [20] [21] [22] [23] [24] [25] [26] [27] [28] [29] [30] [31] [32] [33] [34] [35] [36] [37] [38] [39] [40] [42] [45] [46] [47] [49] [50] [51] [53] [55] [56] [58] [66] [68] [74] [77]
11Masahiko Hiratsuka [14] [22] [47]
12Naofumi Homma [23] [24] [25] [29] [32] [34] [35] [36] [39] [40] [46] [49] [50] [57] [58] [59] [60] [61] [66] [70] [71] [74] [75] [76] [77] [82] [83] [84] [85]
13Ken-ichi Hoshi [18]
14Satoshi Iitsuka [79]
15Yuichi Imai [57] [61]
16Hiroshi Inokawa [37] [38] [42] [51]
17Kazuya Ishida [36]
18Hideaki Ishii [65]
19Koichi Ito [33] [44] [45] [47] [52] [53] [54] [55] [56] [63] [65] [67] [68] [69] [78] [79] [80]
20Michitaka Kameyama [2] [3]
21Isamu Kashima [69] [78]
22Fumihiro Kato [73] [86]
23Osamu Katoh [19]
24Atsushi Katsumata [52] [55] [80]
25Ryota Kawamata [63] [69] [78]
26Koji Kobayashi [43] [44] [45] [52] [53] [54] [55] [56] [64] [65] [67] [68] [80]
27Eiko Kosuge [63] [69] [78]
28Hui Chien Loy [41]
29Shuichi Maeda [4]
30Hironori Mitake [72] [73] [86] [87]
31Atsushi Miyamoto [60] [75] [82] [83] [84]
32Kazuyuki Miyazawa [44] [52] [54] [81]
33Makoto Morikawa [55]
34Tatsuya Morishita [27]
35Ayumi Morita [45] [56]
36Makoto Motegi [25] [32]
37Mohammad Abdul Muquit [48]
38Sei Nagashima [57] [61] [65] [70]
39Hiroshi Nakajima [43] [44] [45] [53] [54] [55] [56] [64] [67] [68]
40Kimihiko Nakazawa [20]
41Masanori Natsui [21] [26] [34] [35]
42Akira Nikaido [63] [69]
43Katsuhiko Nishiguchi [51]
44Y. Ohi [11]
45Jun Sakiyama [30] [39]
46S. Sakurai [10]
47Marc Salvati [87]
48Yoshisat Sasaki [16] [19]
49Yoshisato Sasaki [13]
50Makoto Sato [72] [87]
51Akashi Satoh [57] [59] [60] [61] [62] [70] [71] [75] [76] [82] [83] [84] [85]
52Adi Shamir [84]
53Takuma Shibahara [43] [48] [64] [67]
54Mina Shiina [73] [86]
55Shinichi Shionoya [12]
56Takeshi Sugawara [59] [62] [70] [71] [76] [85]
57Yasuo Takahashi [37] [38] [42] [51]
58Takashi Takimoto [8]
59Toshiki Terasaki [24]
60Takashi Tokizaki [73] [86]
61Naohode Uchida [43]
62Taihei Wakamatsu [39]
63Yuki Watanabe [49] [66] [74] [77]
64Seunghyun Woo [72]
65Yasushi Yuminaka [1] [5] [9] [13] [16] [19] [27]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)