dblp.uni-trier.dewww.uni-trier.de

Peter Y. K. Cheung

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2009
144 Paul Chow, Peter Y. K. Cheung: Proceedings of the ACM/SIGDA 17th International Symposium on Field Programmable Gate Arrays, FPGA 2009, Monterey, California, USA, February 22-24, 2009 ACM 2009
143EEAsma Kahoul, George A. Constantinides, Alastair M. Smith, Peter Y. K. Cheung: Heterogeneous Architecture Exploration: Analysis vs. Parameter Sweep. ARC 2009: 133-144
142EETobias Becker, Wayne Luk, Peter Y. K. Cheung: Parametric Design for Reconfigurable Software-Defined Radio. ARC 2009: 15-26
141EEQiang Liu, George A. Constantinides, Konstantinos Masselos, Peter Y. K. Cheung: Combining Data Reuse With Data-Level Parallelization for FPGA-Targeted Hardware Compilation: A Geometric Programming Framework. IEEE Trans. on CAD of Integrated Circuits and Systems 28(3): 305-315 (2009)
140EEChristos-Savvas Bouganis, Sung-Boem Park, George A. Constantinides, Peter Y. K. Cheung: Synthesis and Optimization of 2D Filter Designs for Heterogeneous FPGAs. TRETS 1(4): (2009)
2008
139EEMaria E. Angelopoulou, Christos-Savvas Bouganis, Peter Y. K. Cheung, George A. Constantinides: FPGA-based Real-time Super-Resolution on an Adaptive Image Sensor. ARC 2008: 124-135
138EEBen Cope, Peter Y. K. Cheung, Wayne Luk: Using Reconfigurable Logic to Optimise GPU Memory Accesses. DATE 2008: 44-49
137EETerrence S. T. Mak, N. Pete Sedcole, Peter Y. K. Cheung, Wayne Luk: High-throughput interconnect wave-pipelining for global communication in FPGAs. FPGA 2008: 258
136EEN. Pete Sedcole, Justin S. Wong, Peter Y. K. Cheung: Measuring and modeling FPGA clock variability. FPGA 2008: 258
135EEQiang Liu, George A. Constantinides, Konstantinos Masselos, Peter Y. K. Cheung: Combining data reuse exploitationwith data-level parallelization for FPGA targeted hardware compilation: A geometric programming framework. FPL 2008: 179-184
134EEEdward Stott, N. Pete Sedcole, Peter Y. K. Cheung: Fault tolerant methods for reliability in FPGAs. FPL 2008: 415-420
133EETobias Becker, Peter Jamieson, Wayne Luk, Peter Y. K. Cheung, Tero Rissa: Towards benchmarking energy efficiency of reconfigurable architectures. FPL 2008: 691-694
132EEJustin S. Wong, Peter Y. K. Cheung, N. Pete Sedcole: Combating process variation on FPGAS with a precise at-speed delay measurement method. FPL 2008: 703-704
131EEMaria E. Angelopoulou, Christos-Savvas Bouganis, Peter Y. K. Cheung: Video enhancement on an adaptive image sensor. ICIP 2008: 681-684
130EEJonathan A. Clarke, George A. Constantinides, Peter Y. K. Cheung, Alastair M. Smith: Glitch-aware output switching activity from word-level statistics. ISCAS 2008: 1792-1795
129EEN. Pete Sedcole, Justin S. Wong, Peter Y. K. Cheung: Characterisation of FPGA Clock Variability. ISVLSI 2008: 322-328
128EETerrence S. T. Mak, Crescenzo D'Alessandro, N. Pete Sedcole, Peter Y. K. Cheung, Alexandre Yakovlev, Wayne Luk: Implementation of Wave-Pipelined Interconnects in FPGAs. NOCS 2008: 213-214
127EETerrence S. T. Mak, N. Pete Sedcole, Peter Y. K. Cheung, Wayne Luk: Interconnection lengths and delays estimation for communication links in FPGAs. SLIP 2008: 1-10
126EETerrence S. T. Mak, Crescenzo D'Alessandro, N. Pete Sedcole, Peter Y. K. Cheung, Alexandre Yakovlev, Wayne Luk: Global interconnections in FPGAs: modeling and performance analysis. SLIP 2008: 51-58
125EEPeter Y. K. Cheung, Alexandre Yakovlev: Comments on the BCS Lecture "The Future of Computer Technology and its Implications for the Computer Industry" by Professor Steve Furber. Comput. J. 51(6): 741-742 (2008)
124EEKieron Turkington, Turkington A. Constantinides, Kostas Masselos, Peter Y. K. Cheung: Outer Loop Pipelining for Application Specific Datapaths in FPGAs. IEEE Trans. VLSI Syst. 16(10): 1268-1280 (2008)
123EEAlastair M. Smith, George A. Constantinides, Peter Y. K. Cheung: Integrated Floorplanning, Module-Selection, and Architecture Generationfor Reconfigurable Devices. IEEE Trans. VLSI Syst. 16(6): 733-744 (2008)
122EEMaria E. Angelopoulou, Kostas Masselos, Peter Y. K. Cheung, Yiannis Andreopoulos: Implementation and Comparison of the 5/3 Lifting 2D Discrete Wavelet Transform Computation Schedules on FPGAs. Signal Processing Systems 51(1): 3-21 (2008)
121EEN. Pete Sedcole, Peter Y. K. Cheung: Parametric Yield Modeling and Simulations of FPGA Circuits Considering Within-Die Delay Variations. TRETS 1(2): (2008)
2007
120EESutjipto Arifin, Peter Y. K. Cheung: A computation method for video segmentation utilizing the pleasure-arousal-dominance emotional information. ACM Multimedia 2007: 68-77
119EEBen Cope, Peter Y. K. Cheung, Wayne Luk: Bridging the Gap between FPGAs and Multi-Processor Architectures: A Video Processing Perspective. ASAP 2007: 308-313
118EEChristos-Savvas Bouganis, Iosifina Pournara, Peter Y. K. Cheung: Efficient Mapping of Dimensionality Reduction Designs onto Heterogeneous FPGAs. FCCM 2007: 141-150
117EEQiang Liu, George A. Constantinides, Konstantinos Masselos, Peter Y. K. Cheung: Automatic On-chip Memory Minimization for Data Reuse. FCCM 2007: 251-260
116EESu-Shin Ang, George A. Constantinides, Wayne Luk, Peter Y. K. Cheung: A Hybrid Memory Sub-system for Video Coding Applications. FCCM 2007: 317-318
115EETobias Becker, Wayne Luk, Peter Y. K. Cheung: Enhancing Relocatability of Partial Bitstreams for Run-Time Reconfiguration. FCCM 2007: 35-44
114EEN. Pete Sedcole, Peter Y. K. Cheung: Parametric yield in FPGAs due to within-die delay variations: a quantitative analysis. FPGA 2007: 178-187
113EEJonathan A. Clarke, George A. Constantinides, Peter Y. K. Cheung: On the feasibility of early routing capacitance estimation for FPGAs. FPL 2007: 234-239
112EEYang Liu, Christos-Savvas Bouganis, Peter Y. K. Cheung: Efficient mapping of a Kalman filter into an FPGA using Taylor Expansion. FPL 2007: 345-350
111EESutjipto Arifin, Peter Y. K. Cheung: A Novel Video Parsing Algorithm Utilizing the Pleasure-Arousal-Dominance Emotional Information. ICIP (6) 2007: 333-336
110EESutjipto Arifin, Peter Y. K. Cheung: A Novel Probabilistic Approach to Modeling the Pleasure-Arousal-Dominance Content of the Video based on "Working Memory". ICSC 2007: 147-154
109EETerrence S. T. Mak, N. Pete Sedcole, Peter Y. K. Cheung, Wayne Luk, K. P. Lam: A Hybrid Analog-Digital Routing Network for NoC Dynamic Routing. NOCS 2007: 173-182
108EEN. Pete Sedcole, Peter Y. K. Cheung, George A. Constantinides, Wayne Luk: Run-Time Integration of Reconfigurable Video Processing Systems. IEEE Trans. VLSI Syst. 15(9): 1003-1016 (2007)
2006
107EESu-Shin Ang, George A. Constantinides, Peter Y. K. Cheung, Wayne Luk: A Flexible Multi-port Caching Scheme for Reconfigurable Platforms. ARC 2006: 205-216
106EEYang Liu, Christos-Savvas Bouganis, Peter Y. K. Cheung, Philip Heng Wai Leong, Stephen J. Motley: Hardware efficient architectures for Eigenvalue computation. DATE 2006: 953-958
105EESutjipto Arifin, Peter Y. K. Cheung: A novel FPGA-based implementation of time adaptive clustering for logical story unit segmentation. DATE Designers' Forum 2006: 227-232
104EEAlastair M. Smith, George A. Constantinides, Peter Y. K. Cheung: A Novel Hueristic and Provable Bounds for Reconfigurable Architecture Design. FCCM 2006: 275-276
103EENicola Campregher, Peter Y. K. Cheung, George A. Constantinides, Milan Vasilko: Yield enhancements of design-specific FPGAs. FPGA 2006: 93-100
102EESutjipto Arifin, Peter Y. K. Cheung: Towards Affective Level Video Applications: A Novel FPGA-Based Video Arousal Content Modeling System. FPL 2006: 1-4
101EEAlastair M. Smith, George A. Constantinides, Peter Y. K. Cheung: A Novel Heuristic and Provable Bounds for Reconfigurable Architecture Design. FPL 2006: 1-6
100EESuhaib A. Fahmy, Christos-Savvas Bouganis, Peter Y. K. Cheung, Wayne Luk: Efficient Realtime FPGA Implementation of the Trace Transform. FPL 2006: 1-6
99EEChristos-Savvas Bouganis, Peter Y. K. Cheung, Li Zhaoping: FPGA-Accelerated Pre-Attentive Segmentation in Primary Visual Cortex. FPL 2006: 1-6
98EENicola Campregher, Peter Y. K. Cheung, George A. Constantinides, Milan Vasilko: Reconfiguration and Fine-Grained Redundancy for Fault Tolerance in FPGAs. FPL 2006: 1-6
97EETerrence S. T. Mak, N. Pete Sedcole, Peter Y. K. Cheung, Wayne Luk: On-FPGA Communication Architectures and Design Factors. FPL 2006: 1-8
96EESutjipto Arifin, Peter Y. K. Cheung: User Attention Based Arousal Content Modeling. ICIP 2006: 433-436
95EEYang Liu, Christos-Savvas Bouganis, Peter Y. K. Cheung: A Spatiotemporal Saliency Framework. ICIP 2006: 437-440
94EEN. Pete Sedcole, Peter Y. K. Cheung, George A. Constantinides, Wayne Luk: On-Chip Communication in Run-Time Assembled Reconfigurable Systems. ICSAMOS 2006: 168-176
93EEJonathan A. Clarke, Altaf Abdul Gaffar, George A. Constantinides, Peter Y. K. Cheung: Fast word-level power models for synthesis of FPGA-based arithmetic. ISCAS 2006
2005
92EERay C. C. Cheung, Dong-U Lee, Oskar Mencer, Wayne Luk, Peter Y. K. Cheung: Automating custom-precision function evaluation for embedded processors. CASES 2005: 22-31
91EERay C. C. Cheung, Wayne Luk, Peter Y. K. Cheung: Reconfigurable Elliptic Curve Cryptosystems on a Chip. DATE 2005: 24-29
90EESuhaib A. Fahmy, Peter Y. K. Cheung, Wayne Luk: Hardware Acceleration of Hidden Markov Model Decoding for Person Detection. DATE 2005: 8-13
89 Wim J. C. Melis, Kieron Turkington, Alexander Whitton, Wayne Luk, Peter Y. K. Cheung, Paul Metzgen: Cell Based Motion Estimators for Reconfigurable Platforms. ERSA 2005: 218-224
88EEChristos-Savvas Bouganis, George A. Constantinides, Peter Y. K. Cheung: A Novel 2D Filter Design Methodology for Heterogeneous Devices. FCCM 2005: 13-22
87EENicola Campregher, Peter Y. K. Cheung, George A. Constantinides, Milan Vasilko: Analysis of yield loss due to random photolithographic defects in the interconnect structure of FPGAs. FPGA 2005: 138-148
86EEAlastair M. Smith, George A. Constantinides, Peter Y. K. Cheung: Exploration of heterogeneous reconfigurable architectures (abstract only). FPGA 2005: 268
85 Nalin Sidahao, George A. Constantinides, Peter Y. K. Cheung: Power and Area Optimization for Multiple Restricted Multiplication. FPL 2005: 112-117
84 Chun Te Ewe, Peter Y. K. Cheung, George A. Constantinides: Error Modelling of Dual FiXed-point Arithmetic and its Application in Field Programmable Logic. FPL 2005: 124-129
83 Suhaib A. Fahmy, Peter Y. K. Cheung, Wayne Luk: Novel FPGA-Based Implementation of Median and Weighted Median Filters for Image Processing. FPL 2005: 142-147
82 Christos-Savvas Bouganis, Peter Y. K. Cheung, George A. Constantinides: Heterogeneity Exploration for Multiple 2D Filter Designs. FPL 2005: 263-268
81 Alastair M. Smith, George A. Constantinides, Peter Y. K. Cheung: An Analytical Approach to Generation and Exploration of Reconfigurable Architectures. FPL 2005: 341-346
80 Nicola Campregher, Peter Y. K. Cheung, George A. Constantinides, Milan Vasilko: Yield modelling and Yield Enhancement for FPGAs using Fault Tolerance Schemes. FPL 2005: 409-414
79 Gareth W. Morris, George A. Constantinides, Peter Y. K. Cheung: Using DSP Blocks For ROM Replacement: A Novel Synthesis Flow. FPL 2005: 77-82
78 Ben Cope, Peter Y. K. Cheung, Wayne Luk, Sarah Witt: Have GPUs Made FPGAs Redundant in the Field of Video Processing? FPT 2005: 111-118
77 Laurence A. Hey, Peter Y. K. Cheung, Michael Gellman: FPGA Based Router for Cognitive Packet Networks. FPT 2005: 331-332
76EEChristos-Savvas Bouganis, George A. Constantinides, Peter Y. K. Cheung: A novel 2D filter design methodology. ISCAS (1) 2005: 532-535
75EENalin Sidahao, George A. Constantinides, Peter Y. K. Cheung: A heuristic approach for multiple restricted multiplication. ISCAS (1) 2005: 692-695
74EEGeorge A. Constantinides, Peter Y. K. Cheung, Wayne Luk: Optimum and heuristic synthesis of multiple word-length architectures. IEEE Trans. VLSI Syst. 13(1): 39-57 (2005)
73EERay C. C. Cheung, N. J. Telle, Wayne Luk, Peter Y. K. Cheung: Customizable elliptic curve cryptosystems. IEEE Trans. VLSI Syst. 13(9): 1048-1059 (2005)
2004
72EESambuddhi Hettiaratchi, Peter Y. K. Cheung: A Novel Implementation of Tile-Based Address Mapping. DATE 2004: 306-311
71 Tero Rissa, Wayne Luk, Peter Y. K. Cheung: Distinguished Paper: Automated Combination of Simulation and Hardware Prototyping. ERSA 2004: 184-193
70EEN. Pete Sedcole, Peter Y. K. Cheung, George A. Constantinides, Wayne Luk: A Structured System Methodology for FPGA Based System-on-A-Chip Design. FCCM 2004: 271-272
69EEGareth W. Morris, George A. Constantinides, Peter Y. K. Cheung: Migrating Functionality from ROMS to Embedded Multipliers. FCCM 2004: 287-288
68EEAltaf Abdul Gaffar, Oskar Mencer, Wayne Luk, Peter Y. K. Cheung: Unifying Bit-Width Optimisation for Fixed-Point and Floating-Point Designs. FCCM 2004: 79-88
67EEN. Pete Sedcole, Peter Y. K. Cheung, George A. Constantinides, Wayne Luk: A Structured Methodology for System-on-an-FPGA Design. FPL 2004: 1047-1051
66EEChun Te Ewe, Peter Y. K. Cheung, George A. Constantinides: Dual Fixed-Point: An Efficient Alternative to Floating-Point Computation. FPL 2004: 200-208
65EENicola Campregher, Peter Y. K. Cheung, Milan Vasilko: BIST Based Interconnect Fault Location for FPGAs. FPL 2004: 322-332
64EENalin Sidahao, George A. Constantinides, Peter Y. K. Cheung: Multiple Restricted Multiplication. FPL 2004: 374-383
63EEChristos-Savvas Bouganis, Peter Y. K. Cheung, Jeffrey Ng, Anil A. Bharath: A Steerable Complex Wavelet Construction and Its Implementation on FPGA. FPL 2004: 394-403
62EETero Rissa, Peter Y. K. Cheung, Wayne Luk: SoftSONIC: A Customisable Modular Platform for Video Applications. FPL 2004: 54-63
61 Wim J. C. Melis, Peter Y. K. Cheung, Wayne Luk: Autonomous Memory Block for reconfigurable computing. ISCAS (2) 2004: 581-584
60EEPeter Y. K. Cheung, George A. Constantinides, José T. de Sousa: Guest Editors' Introduction: Field Programmable Logic and Applications. IEEE Trans. Computers 53(11): 1361-1362 (2004)
59EEDong-U Lee, Wayne Luk, John D. Villasenor, Peter Y. K. Cheung: A Gaussian Noise Generator for Hardware-Based Simulations. IEEE Trans. Computers 53(12): 1523-1534 (2004)
2003
58 Peter Y. K. Cheung, George A. Constantinides, José T. de Sousa: Field Programmable Logic and Application, 13th International Conference, FPL 2003, Lisbon, Portugal, September 1-3, 2003, Proceedings Springer 2003
57EESambuddhi Hettiaratchi, Peter Y. K. Cheung: Mesh Partitioning Approach to Energy Efficient Data Layout. DATE 2003: 11076-11081
56EEDong-U Lee, Wayne Luk, John D. Villasenor, Peter Y. K. Cheung: A Hardware Gaussian Noise Generator for Channel Code Evaluation. FCCM 2003: 69-
55EETheerayod Wiangtong, Peter Y. K. Cheung, Wayne Luk: Cluster-Driven Hardware/Software Partitioning and Scheduling Approach for a Reconfigurable Computer System. FPL 2003: 1071-1074
54EEAndrew Royal, Peter Y. K. Cheung: Globally Asynchronous Locally Synchronous FPGA Architectures. FPL 2003: 355-364
53EETheerayod Wiangtong, Peter Y. K. Cheung, Wayne Luk: A Unified Codesign Run-Time Environment for the UltraSONIC Reconfigurable Computer. FPL 2003: 396-405
52EEN. Pete Sedcole, Peter Y. K. Cheung, George A. Constantinides, Wayne Luk: A Reconfigurable Platform for Real-Time Embedded Video Image Processing. FPL 2003: 606-615
51EEDong-U Lee, Wayne Luk, John D. Villasenor, Peter Y. K. Cheung: Non-uniform Segmentation for Hardware Function Evaluation. FPL 2003: 796-807
50EENalin Sidahao, George A. Constantinides, Peter Y. K. Cheung: Architectures for function evaluation on FPGAs. ISCAS (2) 2003: 804-807
49EETheerayod Wiangtong, Chun Te Ewe, Peter Y. K. Cheung: SONICmole: a debugging environment for the UltraSONIC reconfigurable computer. ISCAS (2) 2003: 808-811
48EETheerayod Wiangtong, Peter Y. K. Cheung, Wayne Luk: Multitasking in hardware-software codesign for reconfigurable computer. ISCAS (5) 2003: 621-624
47EEGeorge A. Constantinides, Peter Y. K. Cheung, Wayne Luk: Synthesis of saturation arithmetic architectures. ACM Trans. Design Autom. Electr. Syst. 8(3): 334-354 (2003)
46EEGeorge A. Constantinides, Peter Y. K. Cheung, Wayne Luk: Wordlength optimization for linear digital signal processing. IEEE Trans. on CAD of Integrated Circuits and Systems 22(10): 1432-1442 (2003)
2002
45EESambuddhi Hettiaratchi, Peter Y. K. Cheung, Thomas J. W. Clarke: Performance-Area Trade-Off of Address Generators for Address Decoder-Decoupled Memory. DATE 2002: 902-908
44EEGeorge A. Constantinides, Peter Y. K. Cheung, Wayne Luk: Optimum Wordlength Allocation. FCCM 2002: 219-228
43EETheerayod Wiangtong, Peter Y. K. Cheung, Wayne Luk: Tabu Search with Intensification Strategy for Functional Partitioning in Hardware-Software Codesign. FCCM 2002: 297-298
42EEWim J. C. Melis, Peter Y. K. Cheung, Wayne Luk: Image Registration of Real-Time Video Data Using the SONIC Reconfigurable Computer Platform. FCCM 2002: 3-12
41EEAltaf Abdul Gaffar, Wayne Luk, Peter Y. K. Cheung, Nabeel Shirazi: Customising Floating-Point Designs. FCCM 2002: 315-317
40EEJörn Gause, Peter Y. K. Cheung, Wayne Luk: Reconfigurable Shape-Adaptive Template Matching Architectures. FCCM 2002: 98-
39EEWim J. C. Melis, Peter Y. K. Cheung, Wayne Luk: Image Registration of Real-Time Broadcast Video Using the UltraSONIC Reconfigurable Computer. FPL 2002: 1148-1151
38EEAltaf Abdul Gaffar, Wayne Luk, Peter Y. K. Cheung, Nabeel Shirazi, James Hwang: Automating Customisation of Floating-Point Designs. FPL 2002: 523-533
37EEShay Ping Seng, Wayne Luk, Peter Y. K. Cheung: Run-Time Adaptive Flexible Instruction Processors. FPL 2002: 545-555
36EESambuddhi Hettiaratchi, Peter Y. K. Cheung, Thomas J. W. Clarke: Energy efficient address assignment through minimized memory row switching. ICCAD 2002: 577-581
2001
35EEGeorge A. Constantinides, Peter Y. K. Cheung, Wayne Luk: Heuristic datapath allocation for multiple wordlength systems. DATE 2001: 791-797
34EEChakkapas Visavakul, Peter Y. K. Cheung, Wayne Luk: A Digit-Serial Structure for Reconfigurable Multipliers. FPL 2001: 565-573
33EEK. T. Tiew, A. J. Payne, Peter Y. K. Cheung: MASH delta-sigma modulators for wideband and multi-standard applications. ISCAS (4) 2001: 778-781
32EENabeel Shirazi, Dan Benyamin, Wayne Luk, Peter Y. K. Cheung, Shaori Guo: Quantitative Analysis of FPGA-based Database Searching. VLSI Signal Processing 28(1-2): 85-96 (2001)
2000
31EEShay Ping Seng, Wayne Luk, Peter Y. K. Cheung: Flexible instruction processors. CASES 2000: 193-200
30EEGeorge A. Constantinides, Peter Y. K. Cheung, Wayne Luk: Multiple Precision for Resource Minimization. FCCM 2000: 307-308
29EEGeorge A. Constantinides, Peter Y. K. Cheung, Wayne Luk: Multiple-Wordlength Resource Binding. FPL 2000: 646-655
28EEJörn Gause, Peter Y. K. Cheung, Wayne Luk: Static and Dynamic Reconfigurable Designs for a 2D Shape-Adaptive DCT. FPL 2000: 96-105
27 Simon D. Haynes, John Stone, Peter Y. K. Cheung, Wayne Luk: Video Image Processing with the Sonic Architecture. IEEE Computer 33(4): 50-57 (2000)
1999
26EEWayne Luk, T. K. Lee, J. Rice, Nabeel Shirazi, Peter Y. K. Cheung: Reconfigurable Computing for Augmented Reality. FCCM 1999: 136-145
25EESimon D. Haynes, Peter Y. K. Cheung, Wayne Luk, John Stone: SONIC - A Plug-In Architecture for Video Processing. FCCM 1999: 280-281
24 Simon D. Haynes, Peter Y. K. Cheung, Wayne Luk, John Stone: SONIC - A Plug-In Architecture for Video Processing. FPL 1999: 21-30
23 Nabeel Shirazi, Wayne Luk, Dan Benyamin, Peter Y. K. Cheung: Quantitative Analysis of Run-Time Reconfigurable Database Search. FPL 1999: 253-263
22 George A. Constantinides, Peter Y. K. Cheung, Wayne Luk: Synthia: Synthesis of Interacting Automata Targeting LUT-based FPGAs. FPL 1999: 323-332
1998
21EENabeel Shirazi, Wayne Luk, Peter Y. K. Cheung: Automating Production of Run-Time Reconfigurable Designs. FCCM 1998: 147-
20EESimon D. Haynes, Peter Y. K. Cheung: A Reconfigurable Multiplier Array For Video Image Processing Tasks, Suitable For Embedding In An FPGA Structure. FCCM 1998: 226-
19EENabeel Shirazi, Wayne Luk, Peter Y. K. Cheung: Run-Time Management of Dynamically Recongigurable Designs. FPL 1998: 59-68
1997
18 Wayne Luk, Peter Y. K. Cheung, Manfred Glesner: Field-Programmable Logic and Applications, 7th International Workshop, FPL '97, London, UK, September 1-3, 1997, Proceedings Springer 1997
17EEPedro A. Molina, Peter Y. K. Cheung: A Quasi Delay-Insensitive Bus Proposal for Asynchronous Systems. ASYNC 1997: 126-139
16EEJosé T. de Sousa, Peter Y. K. Cheung: Improved diagnosis of realistic interconnect shorts. ED&TC 1997: 501-505
15EEWayne Luk, Nabeel Shirazi, Peter Y. K. Cheung: Compilation tools for run-time reconfigurable designs. FCCM 1997: 56-65
14 Wayne Luk, Nabeel Shirazi, Shaori Guo, Peter Y. K. Cheung: Pipeline morphing and virtual pipelines. FPL 1997: 111-120
13 Anjit Sekhar Chaudhuri, Peter Y. K. Cheung, Wayne Luk: A reconfigurable data-localised array for morphological algorithms. FPL 1997: 344-353
12 Patrick I. Mackinlay, Peter Y. K. Cheung, Wayne Luk, Richard Sandiford: Riley-2: A flexible platform for codesign and dynamic reconfigurable computing research. FPL 1997: 91-100
11 David S. Bormann, Peter Y. K. Cheung: Asnchronous Wrapper for Heterogeneous Systems. ICCD 1997: 307-314
10EEJosé T. de Sousa, Peter Y. K. Cheung: Diagnosis of Boards for Realistic Interconnect Shorts. J. Electronic Testing 11(2): 157-171 (1997)
1996
9EEHasan Demirel, Thomas J. Clarke, Peter Y. K. Cheung: Adaptive Automatic Facial Feature Segmentation. FG 1996: 277-282
8EETimo Koskinen, Peter Y. K. Cheung: Hierarchical tolerance analysis using statistical behavioral models. IEEE Trans. on CAD of Integrated Circuits and Systems 15(5): 506-516 (1996)
1994
7 Salman Ahmed, Peter Y. K. Cheung, Phil Collins: A Model-based Approach to Analog Fault Diagnosis using Techniques from Optimisation. EDAC-ETC-EUROASIC 1994: 665
6 Osama T. Albaharna, Peter Y. K. Cheung, Thomas J. Clarke: Area & Time Limitations of FPGA-based Virtual Hardware. ICCD 1994: 184-189
5 Osama T. Albaharna, Peter Y. K. Cheung, Thomas J. Clarke: Virtual Hardware and the Limits of Computational Speed-up. ISCAS 1994: 159-162
4 Salman Ahmed, Peter Y. K. Cheung: Analog Fault Diagnosis - A Practical Approach. ISCAS 1994: 351-354
3 Akachai Sang-In, Peter Y. K. Cheung: A Method of Representative Fault Selection in Digital Circuits for ATPG. ISCAS 1994: 73-76
1993
2 Nasir-ud-Din Gohar, Peter Y. K. Cheung: A New Schematic-driven Floorplanning Algorithm for Analog Cell Layout. ISCAS 1993: 1770-1773
1991
1 Vicente Fuentes-Sánchez, Peter Y. K. Cheung: A Tag Coprocessor Architecture for Symbolic Languages. ICCD 1991: 370-373

Coauthor Index

1Salman Ahmed [4] [7]
2Osama T. Albaharna [5] [6]
3Yiannis Andreopoulos [122]
4Su-Shin Ang [107] [116]
5Maria E. Angelopoulou [122] [131] [139]
6Sutjipto Arifin [96] [102] [105] [110] [111] [120]
7Tobias Becker [115] [133] [142]
8Dan Benyamin [23] [32]
9Anil A. Bharath [63]
10David S. Bormann [11]
11Christos-Savvas Bouganis [63] [76] [82] [88] [95] [99] [100] [106] [112] [118] [131] [139] [140]
12Nicola Campregher [65] [80] [87] [98] [103]
13Anjit Sekhar Chaudhuri [13]
14Ray C. C. Cheung [73] [91] [92]
15Paul Chow [144]
16Jonathan A. Clarke [93] [113] [130]
17Thomas J. Clarke [5] [6] [9]
18Thomas J. W. Clarke [36] [45]
19Phil Collins [7]
20George A. Constantinides [22] [29] [30] [35] [44] [46] [47] [50] [52] [58] [60] [64] [66] [67] [69] [70] [74] [75] [76] [79] [80] [81] [82] [84] [85] [86] [87] [88] [93] [94] [98] [101] [103] [104] [107] [108] [113] [116] [117] [123] [130] [135] [139] [140] [141] [143]
21Turkington A. Constantinides [124]
22Ben Cope [78] [119] [138]
23Crescenzo D'Alessandro [126] [128]
24Hasan Demirel [9]
25Chun Te Ewe [49] [66] [84]
26Suhaib A. Fahmy [83] [90] [100]
27Vicente Fuentes-Sánchez [1]
28Altaf Abdul Gaffar [38] [41] [68] [93]
29Jörn Gause [28] [40]
30Michael Gellman [77]
31Manfred Glesner [18]
32Nasir-ud-Din Gohar [2]
33Shaori Guo [14] [32]
34Simon D. Haynes [20] [24] [25] [27]
35Sambuddhi Hettiaratchi [36] [45] [57] [72]
36Laurence A. Hey [77]
37James Hwang [38]
38Peter Jamieson [133]
39Asma Kahoul [143]
40Timo Koskinen [8]
41K. P. Lam [109]
42Dong-U Lee [51] [56] [59] [92]
43T. K. Lee [26]
44Philip Heng Wai Leong [106]
45Qiang Liu [117] [135] [141]
46Yang Liu [95] [106] [112]
47Wayne Luk [12] [13] [14] [15] [18] [19] [21] [22] [23] [24] [25] [26] [27] [28] [29] [30] [31] [32] [34] [35] [37] [38] [39] [40] [41] [42] [43] [44] [46] [47] [48] [51] [52] [53] [55] [56] [59] [61] [62] [67] [68] [70] [71] [73] [74] [78] [83] [89] [90] [91] [92] [94] [97] [100] [107] [108] [109] [115] [116] [119] [126] [127] [128] [133] [137] [138] [142]
48Patrick I. Mackinlay [12]
49Terrence S. T. Mak [97] [109] [126] [127] [128] [137]
50Kostas Masselos (Konstantinos Masselos) [117] [122] [124] [135] [141]
51Wim J. C. Melis [39] [42] [61] [89]
52Oskar Mencer [68] [92]
53Paul Metzgen [89]
54Pedro A. Molina [17]
55Gareth W. Morris [69] [79]
56Stephen J. Motley [106]
57Jeffrey Ng [63]
58Sung-Boem Park [140]
59A. J. Payne [33]
60Iosifina Pournara [118]
61J. Rice [26]
62Tero Rissa [62] [71] [133]
63Andrew Royal [54]
64Richard Sandiford [12]
65Akachai Sang-In [3]
66N. Pete Sedcole [52] [67] [70] [94] [97] [108] [109] [114] [121] [126] [127] [128] [129] [132] [134] [136] [137]
67Shay Ping Seng [31] [37]
68Nabeel Shirazi [14] [15] [19] [21] [23] [26] [32] [38] [41]
69Nalin Sidahao [50] [64] [75] [85]
70Alastair M. Smith [81] [86] [101] [104] [123] [130] [143]
71José T. de Sousa [10] [16] [58] [60]
72John Stone [24] [25] [27]
73Edward Stott [134]
74N. J. Telle [73]
75K. T. Tiew [33]
76Kieron Turkington [89] [124]
77Milan Vasilko [65] [80] [87] [98] [103]
78John D. Villasenor [51] [56] [59]
79Chakkapas Visavakul [34]
80Alexander Whitton [89]
81Theerayod Wiangtong [43] [48] [49] [53] [55]
82Sarah Witt [78]
83Justin S. Wong [129] [132] [136]
84Alexandre Yakovlev [125] [126] [128]
85Li Zhaoping [99]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)