dblp.uni-trier.dewww.uni-trier.de

Massimo Poncino

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2009
135EECesare Ferri, R. Iris Bahar, Mirko Loghi, Massimo Poncino: Energy-optimal synchronization primitives for single-chip multi-processors. ACM Great Lakes Symposium on VLSI 2009: 141-144
134EEKarthik Duraisami, Enrico Macii, Massimo Poncino: Using soft-edge flip-flops to compensate NBTI-induced delay degradation. ACM Great Lakes Symposium on VLSI 2009: 169-172
133EEAndrea Calimera, Enrico Macii, Massimo Poncino: NBTI-aware sleep transistor design for reliable power-gating. ACM Great Lakes Symposium on VLSI 2009: 333-338
132EEFranco Fummi, Mirko Loghi, Massimo Poncino, Graziano Pravadelli: A cosimulation methodology for HW/SW validation and performance estimation. ACM Trans. Design Autom. Electr. Syst. 14(2): (2009)
2008
131EEAshoka Visweswara Sathanur, Antonio Pullini, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino: Optimal sleep transistor synthesis under timing and area constraints. ACM Great Lakes Symposium on VLSI 2008: 177-182
130EEKarthik Duraisami, Enrico Macii, Massimo Poncino: Energy efficiency bounds of pulse-encoded buses. ACM Great Lakes Symposium on VLSI 2008: 183-188
129EEAndrea Calimera, Enrico Macii, Massimo Poncino, R. Iris Bahar: Temperature-insensitive synthesis using multi-vt libraries. ACM Great Lakes Symposium on VLSI 2008: 5-10
128EEAshoka Visweswara Sathanur, Antonio Pullini, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino: A Scalable Algorithmic Framework for Row-Based Power-Gating. DATE 2008: 379-384
127EEAshoka Visweswara Sathanur, Andrea Calimera, Antonio Pullini, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino: On quantifying the figures of merit of power-gating for leakage power minimization in nanometer CMOS circuits. ISCAS 2008: 2761-2764
126EEAndrea Calimera, R. Iris Bahar, Enrico Macii, Massimo Poncino: Reducing leakage power by accounting for temperature inversion dependence in dual-Vt synthesized circuits. ISLPED 2008: 217-220
125EEAshoka Visweswara Sathanur, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino: Multiple power-gating domain (multi-VGND) architecture for improved leakage power reduction. ISLPED 2008: 51-56
124EEAshoka Visweswara Sathanur, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino: Temporal Discharge Current Driven Clustering for Improved Leakage Power Reduction in Row-Based Power-Gating. PATMOS 2008: 42-51
123EEAshutosh Chakraborty, Karthik Duraisami, Ashoka Visweswara Sathanur, Prassanna Sithambaram, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino: Dynamic Thermal Clock Skew Compensation Using Tunable Delay Buffers. IEEE Trans. VLSI Syst. 16(6): 639-649 (2008)
122EEAshutosh Chakraborty, Karthik Duraisami, Ashoka Visweswara Sathanur, Prassanna Sithambaram, Alberto Macii, Enrico Macii, Massimo Poncino: Implementation of a thermal management unit for canceling temperature-dependent clock skew variations. Integration 41(1): 2-8 (2008)
2007
121EEOlga Golubeva, Mirko Loghi, Massimo Poncino: On the energy efficiency of synchronization primitives for shared-memory single-chip multiprocessors. ACM Great Lakes Symposium on VLSI 2007: 489-492
120EEAndrea Calimera, Antonio Pullini, Ashoka Visweswara Sathanur, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino: Design of a family of sleep transistor cells for a clustered power-gating flow in 65nm technology. ACM Great Lakes Symposium on VLSI 2007: 501-504
119EEAshoka Visweswara Sathanur, Andrea Calimera, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino: Interactive presentation: Efficient computation of discharge current upper bounds for clustered sleep transistor sizing. DATE 2007: 1544-1549
118EEOlga Golubeva, Mirko Loghi, Massimo Poncino, Enrico Macii: Architectural leakage-aware management of partitioned scratchpad memories. DATE 2007: 1665-1670
117EEKarthik Duraisami, Prassanna Sithambaram, Ashoka Visweswara Sathanur, Alberto Macii, Enrico Macii, Massimo Poncino: Design Exploration of a Thermal Management Unit for Dynamic Control of Temperature-Induced Clock Skew. ISCAS 2007: 1061-1064
116EEAshoka Visweswara Sathanur, Antonio Pullini, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino: Timing-driven row-based power gating. ISLPED 2007: 104-109
115EEOlga Golubeva, Mirko Loghi, Enrico Macii, Massimo Poncino: Locality-driven architectural cache sub-banking for leakage energy reduction. ISLPED 2007: 274-279
114EEMirko Loghi, Luca Benini, Massimo Poncino: Power macromodeling of MPSoC message passing primitives. ACM Trans. Embedded Comput. Syst. 6(4): (2007)
113EEFrancesco Poletti, Antonio Poggiali, Davide Bertozzi, Luca Benini, Pol Marchal, Mirko Loghi, Massimo Poncino: Energy-Efficient Multiprocessor Systems-on-Chip for Embedded Computing: Exploring Programming Models and Their Architectural Support. IEEE Trans. Computers 56(5): 606-621 (2007)
2006
112EEFranco Fummi, Giovanni Perbellini, Mirko Loghi, Massimo Poncino: ISS-centric modular HW/SW co-simulation. ACM Great Lakes Symposium on VLSI 2006: 31-36
111EEKimish Patel, Luca Benini, Enrico Macii, Massimo Poncino: STV-Cache: a leakage energy-efficient architecture for data caches. ACM Great Lakes Symposium on VLSI 2006: 404-409
110EEAshutosh Chakraborty, Prassanna Sithambaram, Karthik Duraisami, Alberto Macii, Enrico Macii, Massimo Poncino: Thermal resilient bounded-skew clock tree optimization methodology. DATE 2006: 832-837
109EEAshutosh Chakraborty, Karthik Duraisami, Ashoka Visweswara Sathanur, Prassanna Sithambaram, Alberto Macii, Enrico Macii, Massimo Poncino: Implications of ultra low-voltage devices on design techniques for controlling leakage in NanoCMOS circuits. ISCAS 2006
108EEA. Nurrachmat, Enrico Macii, Massimo Poncino: Low-energy pixel approximation for DVI-based LCD interfaces. ISCAS 2006
107EEAshutosh Chakraborty, Karthik Duraisami, Ashoka Visweswara Sathanur, Prassanna Sithambaram, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino: Dynamic thermal clock skew compensation using tunable delay buffers. ISLPED 2006: 162-167
106EEMirko Loghi, Massimo Poncino, Luca Benini: Synchronization-driven dynamic speed scaling for MPSoCs. ISLPED 2006: 346-349
105EEAshutosh Chakraborty, Karthik Duraisami, Ashoka Visweswara Sathanur, Prassanna Sithambaram, Alberto Macii, Enrico Macii, Massimo Poncino: Dynamic Management of Thermally-Induced Clock Skew: An Implementation Perspective. PATMOS 2006: 214-224
104EEMirko Loghi, Massimo Poncino, Luca Benini: Cache coherence tradeoffs in shared-memory MPSoCs. ACM Trans. Embedded Comput. Syst. 5(2): 383-407 (2006)
103EEKimish Patel, Luca Benini, Enrico Macii, Massimo Poncino: Reducing Conflict Misses by Application-Specific Reconfigurable Indexing. IEEE Trans. on CAD of Integrated Circuits and Systems 25(12): 2626-2637 (2006)
102EEKimish Patel, Enrico Macii, Massimo Poncino, Luca Benini: Energy-Efficient Value Based Selective Refresh for Embedded DRAMS. J. Low Power Electronics 2(1): 70-79 (2006)
2005
101EEMirko Loghi, Martin Letis, Luca Benini, Massimo Poncino: Exploring the energy efficiency of cache coherence protocols in single-chip multi-processors. ACM Great Lakes Symposium on VLSI 2005: 276-281
100EEKimish Patel, Enrico Macii, Massimo Poncino: Zero clustering: an approach to extend zero compression to instruction caches. ACM Great Lakes Symposium on VLSI 2005: 56-59
99EEMirko Loghi, Massimo Poncino: Exploring Energy/Performance Tradeoffs in Shared Memory MPSoCs: Snoop-Based Cache Coherence vs. Software Solutions. DATE 2005: 508-513
98EEMirko Loghi, Paolo Azzoni, Massimo Poncino: Tag Overflow Buffering: An Energy-Efficient Cache Architecture. DATE 2005: 520-525
97EEFranco Fummi, Mirko Loghi, Stefano Martini, Marco Monguzzi, Giovanni Perbellini, Massimo Poncino: Virtual Hardware Prototyping through Timed Hardware-Software Co-Simulation. DATE 2005: 798-803
96EEAndi Nourrachmat, Sabino Salerno, Enrico Macii, Massimo Poncino: Energy-Efficient Color Approximation for Digital LCD Interfaces. ICCD 2005: 81-86
95EEKimish Patel, Enrico Macii, Massimo Poncino: Frame Buffer Energy Optimization by Pixel Prediction. ICCD 2005: 98-101
94EEAshutosh Chakraborty, Enrico Macii, Massimo Poncino: Exploiting Cross-Channel Correlation for Energy-Efficient LCD Bus Encoding. PATMOS 2005: 297-307
93EEKimish Patel, Luca Benini, Enrico Macii, Massimo Poncino: Energy-Efficient Value-Based Selective Refresh for Embedded DRAMs. PATMOS 2005: 466-476
2004
92EEAlberto Bocca, Sabino Salerno, Enrico Macii, Massimo Poncino: Energy-efficient bus encoding for LCD displays. ACM Great Lakes Symposium on VLSI 2004: 240-243
91EEMirko Loghi, Massimo Poncino, Luca Benini: Cycle-accurate power analysis for multiprocessor systems-on-a-chip. ACM Great Lakes Symposium on VLSI 2004: 410-406
90EEFranco Fummi, Stefano Martini, Giovanni Perbellini, Massimo Poncino, Fabio Ricciato, Maura Turolla: Heterogeneous Co-Simulation of Networked Embedded Systems. DATE 2004: 168-173
89 Franco Fummi, Stefano Martini, Marco Monguzzi, Giovanni Perbellini, Massimo Poncino: Modeling and Analysis of Heterogeneous Industrial Networks Architectures. DATE 2004: 342-344
88EEFranco Fummi, Stefano Martini, Giovanni Perbellini, Massimo Poncino: Native ISS-SystemC Integration for the Co-Simulation of Multi-Processor SoC. DATE 2004: 564-569
87EEKimish Patel, Enrico Macii, Massimo Poncino: Synthesis of Partitioned Shared Memory Architectures for Energy-Efficient Multi-Processor SoC. DATE 2004: 700-701
86EEKimish Patel, Enrico Macii, Luca Benini, Massimo Poncino: Reducing cache misses by application-specific re-configurable indexing. ICCAD 2004: 125-130
85EEMassimo Poncino, Jianwen Zhu: DynamoSim: a trace-based dynamically compiled instruction set simulator. ICCAD 2004: 131-136
84EEMirko Loghi, Luca Benini, Massimo Poncino: Analyzing Power Consumption of Message Passing Primitives in a Single-Chip Multiprocessor. ICCD 2004: 393-396
83EEFranco Fummi, Stefano Martini, Marco Monguzzi, Giovanni Perbellini, Massimo Poncino: Software/Network Co-Simulation of Heterogeneous Industrial Networks Architectures. ICCD 2004: 496-501
82 Kimish Patel, Enrico Macii, Massimo Poncino: Energy-performance tradeoffs for the shared memory in multi-processor systems-on-chip. ISCAS (2) 2004: 361-364
81 Sabino Salerno, Enrico Macii, Massimo Poncino: Crosstalk energy reduction by temporal shielding. ISCAS (2) 2004: 749-752
80EESabino Salerno, Alberto Bocca, Enrico Macii, Massimo Poncino: Limited intra-word transition codes: an energy-efficient bus encoding for LCD display interfaces. ISLPED 2004: 206-211
79EESabino Salerno, Enrico Macii, Massimo Poncino: A Low-Power Encoding Scheme for GigaByte Video Interfaces. PATMOS 2004: 58-68
2003
78EELuca Benini, Alberto Macii, Enrico Macii, Elvira Omerbegovic, Massimo Poncino, Fabrizio Pro: A novel architecture for power maskable arithmetic units. ACM Great Lakes Symposium on VLSI 2003: 136-140
77EEEnrico Macii, Massimo Poncino, Sabino Salerno: Combining wire swapping and spacing for low-power deep-submicron buses. ACM Great Lakes Symposium on VLSI 2003: 198-202
76EELuca Benini, Alberto Macii, Enrico Macii, Elvira Omerbegovic, Fabrizio Pro, Massimo Poncino: Energy-aware design techniques for differential power analysis protection. DAC 2003: 36-41
75EEFranco Fummi, Giovanni Perbellini, Paolo Gallo, Massimo Poncino, Stefano Martini, Fabio Ricciato: A timing-accurate modeling and simulation environment for networked embedded systems. DAC 2003: 42-47
74EEAlberto Macii, Enrico Macii, Massimo Poncino: Improving the Efficiency of Memory Partitioning by Address Clustering. DATE 2003: 10018-10023
73EENicola Drago, Franco Fummi, Marco Monguzzi, Giovanni Perbellini, Massimo Poncino: Estimation of Bus Performance for a Tuplespace in an Embedded Architecture. DATE 2003: 20188-20195
72EEAlberto Macii, Enrico Macii, Massimo Poncino: Increasing the locality of memory access patterns by low-overhead hardware address relocation. ISCAS (5) 2003: 385-388
71EELuca Benini, Angelo Galati, Alberto Macii, Enrico Macii, Massimo Poncino: Energy-efficient data scrambling on memory-processor interfaces. ISLPED 2003: 26-29
70EEAlessandro Fin, Franco Fummi, Massimo Poncino, Graziano Pravadelli: A SystemC-based Framework for Properties Incompleteness Evaluation. MTV 2003: 89-94
69EEMaurizio Bruno, Alberto Macii, Massimo Poncino: A Statistic Power Model for Non-synthetic RTL Operators. PATMOS 2003: 208-218
68EELuca Benini, Alberto Macii, Massimo Poncino: Energy-aware design of embedded memories: A survey of technologies, architectures, and optimization techniques. ACM Trans. Embedded Comput. Syst. 2(1): 5-32 (2003)
67EELuca Benini, Davide Bertozzi, Davide Bruni, Nicola Drago, Franco Fummi, Massimo Poncino: SystemC Cosimulation and Emulation of Multiprocessor SoC Designs. IEEE Computer 36(4): 53-59 (2003)
66EELuca Benini, Davide Bruni, Alberto Macii, Enrico Macii, Massimo Poncino: Discharge Current Steering for Battery Lifetime Optimization. IEEE Trans. Computers 52(8): 985-995 (2003)
65EELuca Benini, Alberto Macii, Enrico Macii, Massimo Poncino, Riccardo Scarsi: Scheduling battery usage in mobile systems. IEEE Trans. VLSI Syst. 11(6): 1136-1143 (2003)
2002
64EEMonica Donno, Luca Macchiarulo, Alberto Macii, Enrico Macii, Massimo Poncino: Enhanced clustered voltage scaling for low power. ACM Great Lakes Symposium on VLSI 2002: 18-23
63EELuca Macchiarulo, Enrico Macii, Massimo Poncino: Wire Placement for Crosstalk Energy Minimization in Address Buses. DATE 2002: 158-162
62EELuca Benini, Davide Bertozzi, Davide Bruni, Nicola Drago, Franco Fummi, Massimo Poncino: Legacy SystemC Co-Simulation of Multi-Processor Systems-on-Chip. ICCD 2002: 494-499
61EELuca Benini, Alberto Macii, Enrico Macii, Massimo Poncino: Discharge current steering for battery lifetime optimization. ISLPED 2002: 118-123
60EELuca Benini, Luca Macchiarulo, Alberto Macii, Massimo Poncino: Layout-driven memory synthesis for embedded systems-on-chip. IEEE Trans. VLSI Syst. 10(2): 96-105 (2002)
59EELuca Benini, Alberto Macii, Enrico Macii, Massimo Poncino: Minimizing memory access energy in embedded systems by selective instruction compression. IEEE Trans. VLSI Syst. 10(5): 521-531 (2002)
2001
58EELuca Benini, Luca Macchiarulo, Alberto Macii, Enrico Macii, Massimo Poncino: From Architecture to Layout: Partitioned Memory Synthesis for Embedded Systems-on-Chip. DAC 2001: 784-789
57EELuca Benini, Giuliano Castelli, Alberto Macii, Enrico Macii, Massimo Poncino, Riccardo Scarsi: Extending lifetime of portable systems by battery scheduling. DATE 2001: 197-203
56EELuca Macchiarulo, Enrico Macii, Massimo Poncino: Low-energy for deep-submicron address buses. ISLPED 2001: 176-181
55EEAlberto Macii, Enrico Macii, Massimo Poncino, Riccardo Scarsi: Stream synthesis for efficient power simulation based on spectral transforms. IEEE Trans. VLSI Syst. 9(3): 417-426 (2001)
54EELuca Benini, Giuliano Castelli, Alberto Macii, Enrico Macii, Massimo Poncino, Riccardo Scarsi: Discrete-time battery models for system-level low-power design. IEEE Trans. VLSI Syst. 9(5): 630-640 (2001)
53EEAlessandro Bogliolo, Roberto Corgnati, Enrico Macii, Massimo Poncino: Parameterized RTL power models for soft macros. IEEE Trans. VLSI Syst. 9(6): 880-887 (2001)
52EELuca Benini, Giovanni De Micheli, Antonio Lioy, Enrico Macii, Giuseppe Odasso, Massimo Poncino: Synthesis of power-managed sequential components based oncomputational kernel extraction. IEEE Trans. on CAD of Integrated Circuits and Systems 20(9): 1118-1131 (2001)
2000
51EELuca Benini, Alessandro Bogliolo, Enrico Macii, Massimo Poncino, Mihai Surmei: Regression-based RTL power models for controllers. ACM Great Lakes Symposium on VLSI 2000: 147-152
50EELuca Benini, Marco Ferrero, Alberto Macii, Enrico Macii, Massimo Poncino: Supporting system-level power exploration for DSP applications. ACM Great Lakes Symposium on VLSI 2000: 17-22
49EELuca Benini, Alberto Macii, Enrico Macii, Massimo Poncino: Synthesis of application-specific memories for power optimization in embedded systems. DAC 2000: 300-303
48EELuca Benini, Giuliano Castelli, Alberto Macii, Enrico Macii, Massimo Poncino, Riccardo Scarsi: A Discrete-Time Battery Model for High-Level Power Estimation. DATE 2000: 35-
47EELuca Benini, Alberto Macii, Massimo Poncino: A recursive algorithm for low-power memory partitioning. ISLPED 2000: 78-83
46EERoberto Zafalon, Massimo Rossello, Enrico Macii, Massimo Poncino: Power Macromodeling for a High Quality RT-Level Power Estimation. ISQED 2000: 59-
45EEAlessandro Bogliolo, Enrico Macii, Virgil Mihailovici, Massimo Poncino: Power Models for Semi-autonomous RTL Macros. PATMOS 2000: 14-23
44EECrina Anton, Pierluigi Civera, Ionel Colonescu, Enrico Macii, Massimo Poncino, Alessandro Bogliolo: RTL Estimation of Steering Logic Power. PATMOS 2000: 36-46
43EELuca Benini, Alberto Macii, Enrico Macii, Massimo Poncino: Increasing Energy Efficiency of Embedded Systems by Application-Specific Memory Hierarchy Generation. IEEE Design & Test of Computers 17(2): 74-85 (2000)
42EELuca Benini, Giovanni De Micheli, Alberto Macii, Enrico Macii, Massimo Poncino, Riccardo Scarsi: Glitch power minimization by selective gate freezing. IEEE Trans. VLSI Syst. 8(3): 287-298 (2000)
41EELuca Benini, Giovanni De Micheli, Enrico Macii, Massimo Poncino, Riccardo Scarsi: A multilevel engine for fast power simulation of realistic inputstreams. IEEE Trans. on CAD of Integrated Circuits and Systems 19(4): 459-472 (2000)
40EEFabrizio Ferrandi, Franco Fummi, Enrico Macii, Massimo Poncino, Donatella Sciuto: Symbolic optimization of interacting controllers based onredundancy identification and removal. IEEE Trans. on CAD of Integrated Circuits and Systems 19(7): 760-772 (2000)
39EELuca Benini, Alberto Macii, Massimo Poncino, Riccardo Scarsi: Architectures and synthesis algorithms for power-efficient businterfaces. IEEE Trans. on CAD of Integrated Circuits and Systems 19(9): 969-980 (2000)
1999
38EELuca Benini, Alberto Macii, Enrico Macii, Massimo Poncino, Riccardo Scarsi: Synthesis of Low-Overhead Interfaces for Power-Efficient Communication over Wide Buses. DAC 1999: 128-133
37EELuca Benini, Giovanni De Micheli, Enrico Macii, Giuseppe Odasso, Massimo Poncino: Kernel-Based Power Optimization of RTL Components: Exact and Approximate Extraction Algorithms. DAC 1999: 247-252
36EELuca Benini, Giovanni De Micheli, Alberto Macii, Enrico Macii, Massimo Poncino, Riccardo Scarsi: Glitch Power Minimization by Gate Freezing. DATE 1999: 163-167
35EELuca Benini, Alberto Macii, Enrico Macii, Massimo Poncino: Region Compression: A New Scheme for Memory Energy Minimization in Embedded Systems. EUROMICRO 1999: 1311-1317
34EEAlberto Macii, Enrico Macii, Giuseppe Odasso, Massimo Poncino, Riccardo Scarsi: Regression-Based Macromodeling for Delay Estimation of Behavioral Components. Great Lakes Symposium on VLSI 1999: 188-191
33EERoberto Corgnati, Enrico Macii, Massimo Poncino: Clustered Table-Based Macromodels for RTL Power Estimation. Great Lakes Symposium on VLSI 1999: 354-357
32EEAlessandro Bogliolo, Roberto Corgnati, Enrico Macii, Massimo Poncino: Parameterized RTL power models for combinational soft macros. ICCAD 1999: 284-288
31EELuca Benini, Alberto Macii, Enrico Macii, Massimo Poncino: Selective instruction compression for memory energy reduction in embedded systems. ISLPED 1999: 206-211
30EELuca Benini, Giovanni De Micheli, Enrico Macii, Massimo Poncino, Riccardo Scarsi: Symbolic synthesis of clock-gating logic for power optimization of synchronous controllers. ACM Trans. Design Autom. Electr. Syst. 4(4): 351-375 (1999)
29 Luca Benini, Giovanni De Micheli, Antonio Lioy, Enrico Macii, Giuseppe Odasso, Massimo Poncino: Automatic Synthesis of Large Telescopic Units Based on Near-Minimum Timed Supersetting. IEEE Trans. Computers 48(8): 769-779 (1999)
1998
28EELuca Benini, Giovanni De Micheli, Antonio Lioy, Enrico Macii, Giuseppe Odasso, Massimo Poncino: Computational Kernels and their Application to Sequential Power Optimization. DAC 1998: 764-769
27EEFabrizio Ferrandi, Franco Fummi, Enrico Macii, Massimo Poncino: Power Estimation of Behavioral Descriptions. DATE 1998: 762-766
26EELuca Benini, Giovanni De Micheli, Antonio Lioy, Enrico Macii, Giuseppe Odasso, Massimo Poncino: Timed Supersetting and the Synthesis of Telescopic Units. Great Lakes Symposium on VLSI 1998: 331-337
25EELuca Benini, Giovanni De Micheli, Alberto Macii, Enrico Macii, Massimo Poncino: Reducing Power Consumption of Dedicated Processors Through Instruction Set Encoding. Great Lakes Symposium on VLSI 1998: 8-12
24EEFabrizio Ferrandi, Alberto Macii, Enrico Macii, Massimo Poncino, Riccardo Scarsi, Fabio Somenzi: Symbolic algorithms for layout-oriented synthesis of pass transistor logic circuits. ICCAD 1998: 235-241
23EEAlberto Macii, Enrico Macii, Massimo Poncino, Riccardo Scarsi: Stream synthesis for efficient power simulation based on spectral transforms. ISLPED 1998: 30-35
22EELuca Benini, Giovanni De Micheli, Enrico Macii, Massimo Poncino, Stefano Quer: Power optimization of core-based systems by address bus encoding. IEEE Trans. VLSI Syst. 6(4): 554-562 (1998)
21EELuca Benini, Enrico Macii, Massimo Poncino, Giovanni De Micheli: Telescopic units: a new paradigm for performance optimization of VLSI designs. IEEE Trans. on CAD of Integrated Circuits and Systems 17(3): 220-232 (1998)
1997
20 Gianpiero Cabodi, Paolo Camurati, Antonio Lioy, Massimo Poncino, Stefano Quer: A parallel approach to symbolic traversal based on set partitioning. CHARME 1997: 167-184
19EELuca Benini, Enrico Macii, Massimo Poncino: Telescopic Units: Increasing the Average Throughput of Pipelined Designs by Adaptive Latency Control. DAC 1997: 22-27
18EELuca Benini, Giovanni De Micheli, Enrico Macii, Massimo Poncino, Riccardo Scarsi: Symbolic synthesis of clock-gating logic for power optimization of control-oriented synchronous networks. ED&TC 1997: 514-520
17EEAntonio Lioy, Enrico Macii, Massimo Poncino, Massimo Rossello: Accurate Entropy Calculation for Large Logic Circuits Based on Output Clustering. Great Lakes Symposium on VLSI 1997: 70-
16EELuca Benini, Giovanni De Micheli, Enrico Macii, Massimo Poncino, Riccardo Scarsi: Fast power estimation for deterministic input streams. ICCAD 1997: 494-501
15EELuca Benini, Giovanni De Micheli, Enrico Macii, Massimo Poncino, Stefano Quer: System-level power optimization of special purpose applications: the beach solution. ISLPED 1997: 24-29
14EEFabrizio Ferrandi, Franco Fummi, Donatella Sciuto, Enrico Macii, Massimo Poncino: Testing Core-Based Systems: A Symbolic Methodology. IEEE Design & Test of Computers 14(4): 69-77 (1997)
1996
13EEFabrizio Ferrandi, Franco Fummi, Enrico Macii, Massimo Poncino, Donatella Sciuto: Symbolic Optimization of FSM Networks Based on Sequential ATPG Techniques. DAC 1996: 467-470
12EEEnrico Macii, Massimo Poncino: Exact Computation of the Entropy of a Logic Circuit. Great Lakes Symposium on VLSI 1996: 162-167
11EEFabrizio Ferrandi, Franco Fummi, Enrico Macii, Massimo Poncino, Donatella Sciuto: Test Generation for Networks of Interacting FSMs Using Symbolic Techniques. Great Lakes Symposium on VLSI 1996: 208-213
10EEGianpiero Cabodi, Luciano Lavagno, Enrico Macii, Massimo Poncino, Stefano Quer, Paolo Camurati, Ellen Sentovich: Enhancing FSM Traversal by Temporary Re-Encoding. ICCD 1996: 6-11
9EEHyunwoo Cho, Gary D. Hachtel, Enrico Macii, Massimo Poncino, Fabio Somenzi: Automatic state space decomposition for approximate FSM traversal based on circuit analysis. IEEE Trans. on CAD of Integrated Circuits and Systems 15(12): 1451-1464 (1996)
1995
8EESrilatha Manne, Abelardo Pardo, R. Iris Bahar, Gary D. Hachtel, Fabio Somenzi, Enrico Macii, Massimo Poncino: Computing the Maximum Power Cycles of a Sequential Circuit. DAC 1995: 23-28
7EEEnrico Macii, Massimo Poncino: Predicting the functional complexity of combinational circuits by symbolic spectral analysis of Boolean functions. EURO-DAC 1995: 294-299
6EEEnrico Macii, Massimo Poncino: Using symbolic Rademacher-Walsh spectral transforms to evaluate the correlation between Boolean functions. Great Lakes Symposium on VLSI 1995: 112-
5EEEnrico Macii, Massimo Poncino: Estimating worst-case power consumption of CMOS circuits modeled as symbolic neural networks. Great Lakes Symposium on VLSI 1995: 60-65
1994
4 Hyunwoo Cho, Gary D. Hachtel, Enrico Macii, Massimo Poncino, Fabio Somenzi: A State Space Decomposition Algorithm for Approximate FSM Traversal. EDAC-ETC-EUROASIC 1994: 137-141
3EEGary D. Hachtel, Mariano Hermida de la Rica, Abelardo Pardo, Massimo Poncino, Fabio Somenzi: Re-encoding sequential circuits to reduce power dissipation. ICCAD 1994: 70-73
2 Hyunwoo Cho, Gary D. Hachtel, Enrico Macii, Massimo Poncino, Fabio Somenzi: A Structural Approach to State Space Decomposition for Approximate Reachability Analysis. ICCD 1994: 236-239
1993
1 Antonio Lioy, Massimo Poncino: On the Resetability of Synchronous Sequential Circuits. ISCAS 1993: 1507-1510

Coauthor Index

1Crina Anton [44]
2Paolo Azzoni [98]
3R. Iris Bahar [8] [126] [129] [135]
4Luca Benini [15] [16] [18] [19] [21] [22] [25] [26] [28] [29] [30] [31] [35] [36] [37] [38] [39] [41] [42] [43] [47] [48] [49] [50] [51] [52] [54] [57] [58] [59] [60] [61] [62] [65] [66] [67] [68] [71] [76] [78] [84] [86] [91] [93] [101] [102] [103] [104] [106] [107] [111] [113] [114] [116] [119] [120] [123] [124] [125] [127] [128] [131]
5Davide Bertozzi [62] [67] [113]
6Alberto Bocca [80] [92]
7Alessandro Bogliolo [32] [44] [45] [51] [53]
8Davide Bruni [62] [66] [67]
9Maurizio Bruno [69]
10Gianpiero Cabodi [10] [20]
11Andrea Calimera [119] [120] [126] [127] [129] [133]
12Paolo Camurati [10] [20]
13Giuliano Castelli [48] [54] [57]
14Ashutosh Chakraborty [94] [105] [107] [109] [110] [122] [123]
15Hyunwoo Cho [2] [4] [9]
16Pierluigi Civera [44]
17Ionel Colonescu [44]
18Roberto Corgnati [32] [33] [53]
19Monica Donno [64]
20Nicola Drago [62] [67] [73]
21Karthik Duraisami [105] [107] [109] [110] [117] [122] [123] [130] [134]
22Fabrizio Ferrandi [11] [13] [14] [24] [27] [40]
23Marco Ferrero [50]
24Cesare Ferri [135]
25Alessandro Fin [70]
26Franco Fummi [11] [13] [14] [27] [40] [62] [67] [70] [73] [75] [83] [88] [89] [90] [97] [112] [132]
27Angelo Galati [71]
28Paolo Gallo [75]
29Olga Golubeva [115] [118] [121]
30Gary D. Hachtel [2] [3] [4] [8] [9]
31Luciano Lavagno [10]
32Martin Letis [101]
33Antonio Lioy [1] [17] [20] [26] [28] [29] [52]
34Mirko Loghi [84] [91] [97] [98] [99] [101] [104] [106] [112] [113] [114] [115] [118] [121] [132] [135]
35Luca Macchiarulo [56] [58] [60] [63] [64]
36Alberto Macii [23] [24] [25] [31] [34] [35] [36] [38] [39] [42] [43] [47] [48] [49] [50] [54] [55] [57] [58] [59] [60] [61] [64] [65] [66] [68] [69] [71] [72] [74] [76] [78] [105] [107] [109] [110] [116] [117] [119] [120] [122] [123] [124] [125] [127] [128] [131]
37Enrico Macii [2] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15] [16] [17] [18] [19] [21] [22] [23] [24] [25] [26] [27] [28] [29] [30] [31] [32] [33] [34] [35] [36] [37] [38] [40] [41] [42] [43] [44] [45] [46] [48] [49] [50] [51] [52] [53] [54] [55] [56] [57] [58] [59] [61] [63] [64] [65] [66] [71] [72] [74] [76] [77] [78] [79] [80] [81] [82] [86] [87] [92] [93] [94] [95] [96] [100] [102] [103] [105] [107] [108] [109] [110] [111] [115] [116] [117] [118] [119] [120] [122] [123] [124] [125] [126] [127] [128] [129] [130] [131] [133] [134]
38Srilatha Manne [8]
39Pol Marchal [113]
40Stefano Martini [75] [83] [88] [89] [90] [97]
41Giovanni De Micheli [15] [16] [18] [21] [22] [25] [26] [28] [29] [30] [36] [37] [41] [42] [52]
42Virgil Mihailovici [45]
43Marco Monguzzi [73] [83] [89] [97]
44Andi Nourrachmat [96]
45A. Nurrachmat [108]
46Giuseppe Odasso [26] [28] [29] [34] [37] [52]
47Elvira Omerbegovic [76] [78]
48Abelardo Pardo [3] [8]
49Kimish Patel [82] [86] [87] [93] [95] [100] [102] [103] [111]
50Giovanni Perbellini [73] [75] [83] [88] [89] [90] [97] [112]
51Antonio Poggiali [113]
52Francesco Poletti [113]
53Graziano Pravadelli [70] [132]
54Fabrizio Pro [76] [78]
55Antonio Pullini [116] [120] [127] [128] [131]
56Stefano Quer [10] [15] [20] [22]
57Mariano Hermida de la Rica [3]
58Fabio Ricciato [75] [90]
59Massimo Rossello [17] [46]
60Sabino Salerno [77] [79] [80] [81] [92] [96]
61Ashoka Visweswara Sathanur [105] [107] [109] [116] [117] [119] [120] [122] [123] [124] [125] [127] [128] [131]
62Riccardo Scarsi [16] [18] [23] [24] [30] [34] [36] [38] [39] [41] [42] [48] [54] [55] [57] [65]
63Donatella Sciuto [11] [13] [14] [40]
64Ellen Sentovich (Ellen M. Sentovich) [10]
65Prassanna Sithambaram [105] [107] [109] [110] [117] [122] [123]
66Fabio Somenzi [2] [3] [4] [8] [9] [24]
67Mihai Surmei [51]
68Maura Turolla [90]
69Roberto Zafalon [46]
70Jianwen Zhu [85]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)