dblp.uni-trier.dewww.uni-trier.de

Yici Cai

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2009
115EELiu Dawei, Qiang Zhou, Jinian Bian, Yici Cai, Xianlong Hong: Cell shifting aware of wirelength and overlap. ISQED 2009: 506-510
2008
114EELiangpeng Guo, Yici Cai, Qiang Zhou, Le Kang, Xianlong Hong: A novel performance driven power gating based on distributed sleep transistor network. ACM Great Lakes Symposium on VLSI 2008: 255-260
113EEYanfeng Wang, Qiang Zhou, Yici Cai, Jiang Hu, Xianlong Hong, Jinian Bian: Low power clock buffer planning methodology in F-D placement for large scale circuit design. ASP-DAC 2008: 370-375
112EEXiaoyi Wang, Jin Shi, Yici Cai, Xianlong Hong: Heuristic power/ground network and floorplan co-design method. ASP-DAC 2008: 617-622
111EEShuai Li, Jin Shi, Yici Cai, Xianlong Hong: Vertical via design techniques for multi-layered P/G networks. ASP-DAC 2008: 623-628
110EEXing Wei, Juanjuan Chen, Qiang Zhou, Yici Cai, Jinian Bian, Xianlong Hong: MacroMap: A technology mapping algorithm for heterogeneous FPGAs with effective area estimation. FPL 2008: 559-562
109EEWeixiang Shen, Yici Cai, Xianlong Hong, Jiang Hu: Gate planning during placement for gated clock network. ICCD 2008: 128-133
108EEWeixiang Shen, Yici Cai, Xianlong Hong: Leakage power optimization for clock network using dual-Vth technology. ISCAS 2008: 2769-2772
107EEWeixiang Shen, Yici Cai, Xianlong Hong, Jiang Hu: Activity and register placement aware gated clock network design. ISPD 2008: 182-189
106EEYin Shen, Yici Cai, Qiang Zhou, Xianlong Hong: DFM Based Detailed Routing Algorithm for ECP and CMP. ISQED 2008: 357-360
105EEYibo Wang, Yici Cai, Xianlong Hong: A Low-Power Buffered Tree Construction Algorithm Aware of Supply Voltage Variation. ISVLSI 2008: 221-226
104EEYanming Jia, Yici Cai, Xianlong Hong: Full-chip routing system for reducing Cu CMP & ECP variation. SBCCI 2008: 10-15
103EENing Mi, Sheldon X.-D. Tan, Yici Cai, Xianlong Hong: Fast Variational Analysis of On-Chip Power Grids by Stochastic Extended Krylov Subspace Method. IEEE Trans. on CAD of Integrated Circuits and Systems 27(11): 1996-2006 (2008)
102EEWeixiang Shen, Yici Cai, Xianlong Hong, Jiang Hu: Low Power Gated Clock Tree Driven Placement. IEICE Transactions 91-A(2): 595-603 (2008)
101EELiangpeng Guo, Yici Cai, Qiang Zhou, Xianlong Hong: Logic and Layout Aware Level Converter Optimization for Multiple Supply Voltage. IEICE Transactions 91-A(8): 2084-2090 (2008)
100EEYici Cai, Jin Shi, Zhu Pan, Xianlong Hong, Sheldon X.-D. Tan: Large scale P/G grid transient simulation using hierarchical relaxed approach. Integration 41(1): 153-160 (2008)
99EEWeixiang Shen, Yici Cai, Xianlong Hong, Jiang Hu, Bing Lu: Zero skew clock routing in X-architecture based on an improved greedy matching algorithm. Integration 41(3): 426-438 (2008)
98EEYici Cai, Qiang Zhou, Xianlong Hong, Rui Shi, Yang Wang: Application of optical proximity correction technology. Science in China Series F: Information Sciences 51(2): 213-224 (2008)
2007
97EEYanming Jia, Yici Cai, Xianlong Hong: Dummy fill aware buffer insertion during routing. ACM Great Lakes Symposium on VLSI 2007: 31-36
96EEXinjie Wei, Yici Cai, Xianlong Hong: Physical aware clock skew rescheduling. ACM Great Lakes Symposium on VLSI 2007: 473-476
95EEYue Zhuo, Hao Li, Qiang Zhou, Yici Cai, Xianlong Hong: New timing and routability driven placement algorithms for FPGA synthesis. ACM Great Lakes Symposium on VLSI 2007: 570-575
94EEYi Zou, Yici Cai, Qiang Zhou, Xianlong Hong, Sheldon X.-D. Tan, Le Kang: Practical Implementation of Stochastic Parameterized Model Order Reduction via Hermite Polynomial Chaos. ASP-DAC 2007: 367-372
93EELiangpeng Guo, Yici Cai, Qiang Zhou, Xianlong Hong: Logic and Layout Aware Voltage Island Generation for Low Power Design. ASP-DAC 2007: 666-671
92EELe Kang, Yici Cai, Yi Zou, Jin Shi, Xianlong Hong, Sheldon X.-D. Tan: Fast Decoupling Capacitor Budgeting for Power/Ground Network Using Random Walk Approach. ASP-DAC 2007: 751-756
91EEJeffrey Fan, Ning Mi, Sheldon X.-D. Tan, Yici Cai, Xianlong Hong: Statistical model order reduction for interconnect circuits considering spatial correlations. DATE 2007: 1508-1513
90EENing Mi, Sheldon X.-D. Tan, Pu Liu, Jian Cui, Yici Cai, Xianlong Hong: Stochastic extended Krylov subspace method for variational analysis of on-chip power grid networks. ICCAD 2007: 48-53
89EEXinjie Wei, Yici Cai, Xianlong Hong: Effective Acceleration of Iterative Slack Distribution Process. ISCAS 2007: 1077-1080
88EEYanfeng Wang, Qiang Zhou, Xianlong Hong, Yici Cai: Clock-Tree Aware Placement Based on Dynamic Clock-Tree Building. ISCAS 2007: 2040-2043
87EEWeixiang Shen, Yici Cai, Xianlong Hong, Jiang Hu, Bing Lu: Planar-CRX: A Single-Layer Zero Skew Clock Routing in X-Architecture. ISQED 2007: 299-304
86EEYici Cai, Bin Liu, Jin Shi, Qiang Zhou, Xianlong Hong: Power Delivery Aware Floorplanning for Voltage Island Designs. ISQED 2007: 350-355
85EEHailong Yao, Yici Cai, Xianlong Hong: CMP-aware Maze Routing Algorithm for Yield Enhancement. ISVLSI 2007: 239-244
84EEWeixiang Shen, Yici Cai, Xianlong Hong, Jiang Hu: Activity-Aware Registers Placement for Low Power Gated Clock Tree Construction. ISVLSI 2007: 383-388
83EEJin Shi, Yici Cai, Sheldon X.-D. Tan, Jeffrey Fan, Xianlong Hong: Pattern-Based Iterative Method for Extreme Large Power/Ground Analysis. IEEE Trans. on CAD of Integrated Circuits and Systems 26(4): 680-692 (2007)
82EEYici Cai, Bin Liu, Qiang Zhou, Xianlong Hong: Voltage Island Generation in Cell Based Dual-Vdd Design. IEICE Transactions 90-A(1): 267-273 (2007)
81EEYibo Wang, Yici Cai, Xianlong Hong, Yi Zou: Stochastic Interconnect Tree Construction Algorithm with Accurate Delay and Power Consideration. IEICE Transactions 90-A(5): 1028-1037 (2007)
80EEYongqiang Lu, Xianlong Hong, Qiang Zhou, Yici Cai, Jun Gu: An efficient quadratic placement based on search space traversing technology. Integration 40(3): 253-260 (2007)
79EEJeffrey Fan, Sheldon X.-D. Tan, Yici Cai, Xianlong Hong: Partitioning-based decoupling capacitor budgeting via sequence of linear programming. Integration 40(4): 516-524 (2007)
78EEQiang Zhou, Yici Cai, Duo Li, Xianlong Hong: A Yield-Driven Gridless Router. J. Comput. Sci. Technol. 22(5): 653-660 (2007)
2006
77EEXianlong Hong, Yici Cai, Hailong Yao, Duo Li: DFM-aware Routing for Yield Enhancement. APCCAS 2006: 1091-1094
76EEQiang Zhou, Yi Zou, Yici Cai, Xianlong Hong: Variational Circuit Simulator based on a Unified Methodology using Arithmetic over Taylor Polynomials. APCCAS 2006: 1635-1638
75EEBin Liu, Yici Cai, Qiang Zhou, Xianlong Hong: Power driven placement with layout aware supply voltage assignment for voltage island generation in Dual-Vdd designs. ASP-DAC 2006: 582-587
74EEJin Shi, Yici Cai, Sheldon X.-D. Tan, Xianlong Hong: Efficient early stage resonance estimation techniques for C4 package. ASP-DAC 2006: 826-831
73EEHailong Yao, Subarna Sinha, Charles Chiang, Xianlong Hong, Yici Cai: Efficient process-hotspot detection using range pattern matching. ICCAD 2006: 625-632
72EEXin Zhao, Yici Cai, Qiang Zhou, Xianlong Hong: A novel low-power physical design methodology for MTCMOS. ISCAS 2006
71EELijuan Luo, Qiang Zhou, Yici Cai, Xianlong Hong, Yibo Wang: A novel technique integrating buffer insertion into timing driven placement. ISCAS 2006
70EEHailong Yao, Yici Cai, Xianlong Hong: Congestion-driven W-shape multilevel full-chip routing framework. ISCAS 2006
69EEWeixiang Shen, Yici Cai, Jiang Hu, Xianlong Hong, Bing Lu: High performance clock routing in X-architecture. ISCAS 2006
68EEYibo Wang, Yici Cai, Xianlong Hong: Performance and power aware buffered tree construction. ISCAS 2006
67EEJin Shi, Yici Cai, Sheldon X.-D. Tan, Xianlong Hong: High accurate pattern based precondition method for extremely large power/ground grid analysis. ISPD 2006: 108-113
66EEXinjie Wei, Yici Cai, Xianlong Hong: Clock Skew Scheduling Under Process Variations. ISQED 2006: 237-242
65EEJeffrey Fan, I-Fan Liao, Sheldon X.-D. Tan, Yici Cai, Xianlong Hong: Localized On-Chip Power Delivery Network Optimization via Sequence of Linear Programming. ISQED 2006: 272-277
64EEHang Li, Jeffrey Fan, Zhenyu Qi, Sheldon X.-D. Tan, Lifeng Wu, Yici Cai, Xianlong Hong: Partitioning-Based Approach to Fast On-Chip Decoupling Capacitor Budgeting and Minimization. IEEE Trans. on CAD of Integrated Circuits and Systems 25(11): 2402-2412 (2006)
63EEYici Cai, Bin Liu, Yan Xiong, Qiang Zhou, Xianlong Hong: Priority-Based Routing Resource Assignment Considering Crosstalk. J. Comput. Sci. Technol. 21(6): 913-921 (2006)
62EEZuying Luo, Yici Cai, Sheldon X.-D. Tan, Xianlong Hong, Xiaoyi Wang, Zhu Pan, Jingjing Fu: Time-domain analysis methodology for large-scale RLC circuits and its applications. Science in China Series F: Information Sciences 49(5): 665-680 (2006)
61EEXinjie Wei, Yici Cai, Meng Zhao, Xianlong Hong: Legitimate Skew Clock Routing with Buffer Insertion. VLSI Signal Processing 42(2): 107-116 (2006)
2005
60EEHailong Yao, Yici Cai, Xianlong Hong, Qiang Zhou: Improved multilevel routing with redundant via placement for yield and reliability. ACM Great Lakes Symposium on VLSI 2005: 143-146
59EEQinglang Luo, Xianlong Hong, Qiang Zhou, Yici Cai: A new algorithm for layout of dark field alternating phase shifting masks. ACM Great Lakes Symposium on VLSI 2005: 221-224
58EEYici Cai, Zhu Pan, Sheldon X.-D. Tan, Xianlong Hong, Wenting Hou, Lifeng Wu: Relaxed hierarchical power/ground grid analysis. ASP-DAC 2005: 1090-1093
57EEYongqiang Lu, Cliff C. N. Sze, Xianlong Hong, Qiang Zhou, Yici Cai, Liang Huang, Jiang Hu: Register placement for low power clock network. ASP-DAC 2005: 588-593
56EEJingjing Fu, Zuying Luo, Xianlong Hong, Yici Cai, Sheldon X.-D. Tan, Zhu Pan: VLSI on-chip power/ground network optimization considering decap leakage currents. ASP-DAC 2005: 735-738
55EEYi Zou, Qiang Zhou, Yici Cai, Xianlong Hong, Sheldon X.-D. Tan: Analysis of buffered hybrid structured clock networks. ASP-DAC 2005: 93-98
54EELiang Huang, Yici Cai, Qiang Zhou, Xianlong Hong, Jiang Hu, Yongqiang Lu: Clock network minimization methodology based on incremental placement. ASP-DAC 2005: 99-102
53EEHang Li, Zhenyu Qi, Sheldon X.-D. Tan, Lifeng Wu, Yici Cai, Xianlong Hong: Partitioning-based approach to fast on-chip decap budgeting and minimization. DAC 2005: 170-175
52EEYongqiang Lu, Cliff C. N. Sze, Xianlong Hong, Qiang Zhou, Yici Cai, Liang Huang, Jiang Hu: Navigating registers in placement for clock network minimization. DAC 2005: 176-181
51EEYici Cai, Bin Liu, Xiong Yan, Qiang Zhou, Xianlong Hong: A Hybrid Genetic Algorithm and Application to the Crosstalk Aware Track Assignment Problem. ICNC (3) 2005: 181-184
50EEXinjie Wei, Yici Cai, Xianlong Hong: Zero skew clock routing with tree topology construction using simulated annealing method. ISCAS (1) 2005: 101-104
49EEYici Cai, Yibo Wang, Xianlong Hong: A global interconnect optimization algorithm under accurate delay model using solution space smoothing. ISCAS (1) 2005: 93-96
48EEYiqian Zhang, Xianlong Hong, Yici Cai: An efficient algorithm for buffered routing tree construction under fixed buffer locations with accurate delay models. ISCAS (1) 2005: 97-100
47EEYici Cai, Bin Liu, Qiang Zhou, Xianlong Hong: Integrated routing resource assignment for RLC crosstalk minimization. ISCAS (2) 2005: 1871-1874
46EEZhuoyuan Li, Xianlong Hong, Qiang Zhou, Yici Cai, Jinian Bian, Hannal Yang, Prashant Saxena, Vijay Pitchumani: A divide-and-conquer 2.5-D floorplanning algorithm based on statistical wirelength estimation. ISCAS (6) 2005: 6230-6233
45EEZhenyu Qi, Hang Li, Sheldon X.-D. Tan, Lifeng Wu, Yici Cai, Xianlong Hong: Fast Decap Allocation Algorithm For Robust On-Chip Power Delivery. ISQED 2005: 542-547
44EEYici Cai, Bin Liu, Qiang Zhou, Xianlong Hong: A Thermal Aware Floorplanning Algorithm Supporting Voltage Islands for Low Power SOC Design. PATMOS 2005: 257-266
43EEJin Shi, Yici Cai, Xianlong Hong, Sheldon X.-D. Tan: Efficient Simulation of Power/Ground Networks with Package and Vias. PATMOS 2005: 318-328
42EEYibo Wang, Yici Cai, Xianlong Hong: A Fast Buffered Routing Tree Construction Algorithm under Accurate Delay Model. VLSI Design 2005: 91-96
41EEYongqiang Lu, Chin-Ngai Sze, Xianlong Hong, Qiang Zhou, Yici Cai, Liang Huang, Jiang Hu: Navigating Register Placement for Low Power Clock Network Design. IEICE Transactions 88-A(12): 3405-3411 (2005)
40EEBin Liu, Yici Cai, Qiang Zhou, Xianlong Hong: Crosstalk and Congestion Driven Layer Assignment Algorithm. IEICE Transactions 88-A(6): 1565-1572 (2005)
39EEYi Zou, Yici Cai, Qiang Zhou, Xianlong Hong, Sheldon X.-D. Tan: A Fast Delay Computation for the Hybrid Structured Clock Network. IEICE Transactions 88-A(7): 1964-1970 (2005)
38EEYici Cai, Jin Shi, Zuying Luo, Xianlong Hong: Modeling and Analysis of Mesh Tree Hybrid Power/Ground Networks with Multiple Voltage Supply in Time Domain. J. Comput. Sci. Technol. 20(2): 224-230 (2005)
37EEHailong Yao, Yici Cai, Qiang Zhou, Xianlong Hong: Crosstalk-Aware Routing Resource Assignment. J. Comput. Sci. Technol. 20(2): 231-236 (2005)
36EEYici Cai, Xin Zhao, Qiang Zhou, Xianlong Hong: Shielding Area Optimization Under the Solution of Interconnect Crosstalk. J. Comput. Sci. Technol. 20(6): 901-906 (2005)
2004
35EEJingjing Fu, Zuying Luo, Xianlong Hong, Yici Cai, Sheldon X.-D. Tan, Zhu Pan: A fast decoupling capacitor budgeting algorithm for robust on-chip power delivery. ASP-DAC 2004: 505-510
34EESong Chen, Xianlong Hong, Sheqin Dong, Yuchun Ma, Yici Cai, Chung-Kuan Cheng, Jun Gu: A buffer planning algorithm with congestion optimization. ASP-DAC 2004: 615-620
33EEYuchun Ma, Xianlong Hong, Sheqin Dong, Song Chen, Yici Cai, Chung-Kuan Cheng, Jun Gu: Buffer allocation algorithm with consideration of routing congestion. ASP-DAC 2004: 621-623
32EEYi Zou, Yici Cai, Qiang Zhou, Xianlong Hong, Sheldon X.-D. Tan: A Fast Delay Analysis Algorithm for The Hybrid Structured Clock Network. ICCD 2004: 344-349
31 Yang Wang, Yici Cai, Xianlong Hong, Qiang Zhou: Algorithm for yield driven correction of layout. ISCAS (5) 2004: 241-245
30 Xin Zhao, Yici Cai, Qiang Zhou, Xianlong Hong, Lei He, Jinjun Xiong: Shielding area optimization under the solution of interconnect crosstalk. ISCAS (5) 2004: 297-300
29 Meng Zhao, Xinjie Wei, Yici Cai, Xianlong Hong: Quick and effective buffered legitimate skew clock routing. ISCAS (5) 2004: 337-340
28 Changqi Yang, Xianlong Hong, Hannah Honghua Yang, Qiang Zhou, Yici Cai, Yongqiang Lu: Recursively combine floorplan and Q-place in mixed mode placement based on circuit's variety of block configuration. ISCAS (5) 2004: 81-84
27 Bin Liu, Yici Cai, Qiang Zhou, Xianlong Hong: Layer assignment algorithm for RLC crosstalk minimization. ISCAS (5) 2004: 85-88
26 Hailong Yao, Qiang Zhou, Xianlong Hong, Yici Cai: Crosstalk driven routing resource assignment. ISCAS (5) 2004: 89-92
25EEZhu Pan, Yici Cai, Sheldon X.-D. Tan, Zuying Luo, Xianlong Hong: Transient Analysis of On-Chip Power Distribution Networks Using Equivalent Circuit Modeling. ISQED 2004: 63-68
24EEJingjing Fu, Zuying Luo, Xianlong Hong, Yici Cai, Sheldon X.-D. Tan, Zhu Pan: Simultaneous Wire Sizing and Decoupling Capacitance Budgeting for Robust On-Chip Power Delivery. PATMOS 2004: 433-441
23EEYuchun Ma, Xianlong Hong, Sheqin Dong, Yici Cai, Chung-Kuan Cheng, Jun Gu: Stairway compaction using corner block list and its applications with rectilinear blocks. ACM Trans. Design Autom. Electr. Syst. 9(2): 199-211 (2004)
22EEXiaohai Wu, Xianlong Hong, Yici Cai, Zuying Luo, Chung-Kuan Cheng, Jun Gu, Wayne Wei-Ming Dai: Area minimization of power distribution network using efficient nonlinear programming techniques. IEEE Trans. on CAD of Integrated Circuits and Systems 23(7): 1086-1094 (2004)
2003
21EEYuchun Ma, Xianlong Hong, Sheqin Dong, Song Chen, Yici Cai, Chung-Kuan Cheng, Jun Gu: Dynamic global buffer planning optimization based on detail block locating and congestion analysis. DAC 2003: 806-811
20EESong Chen, Xianlong Hong, Sheqin Dong, Yuchun Ma, Yici Cai, Chung-Kuan Cheng, Jun Gu: Evaluating a bounded slice-line grid assignment in O(nlogn) time. ISCAS (4) 2003: 708-711
19EEYongqiang Lu, Xianlong Hong, Wenting Hou, Weimin Wu, Yici Cai: Combining clustering and partitioning in quadratic placement. ISCAS (4) 2003: 720-723
18EEYuchun Ma, Xianlong Hong, Sheqin Dong, Yici Cai, Song Chen, Chung-Kuan Cheng, Jun Gu: Arbitrary convex and concave rectilinear block packing based on corner block list. ISCAS (5) 2003: 493-496
17EEYuchun Ma, Xianlong Hong, Sheqin Dong, Song Chen, Yici Cai, Chung-Kuan Cheng, Jun Gu: An integrated floorplanning with an efficient buffer planning algorithm. ISPD 2003: 136-142
16EEJingyu Xu, Xianlong Hong, Tong Jing, Yici Cai, Jun Gu: An efficient hierarchical timing-driven Steiner tree algorithm for global routing. Integration 35(2): 69-84 (2003)
15EEWenting Hou, Xianlong Hong, Weimin Wu, Yici Cai: FaSa: A Fast and Stable Quadratic Placement Algorithm. J. Comput. Sci. Technol. 18(3): 318-324 (2003)
2002
14EETong Jing, Xianlong Hong, Haiyun Bao, Yici Cai, Jingyu Xu, Jun Gu: A novel and efficient timing-driven global router for standard cell layout design based on critical network concept. ISCAS (1) 2002: 165-168
13EEYuchun Ma, Xianlong Hong, Sheqin Dong, Yici Cai, Chung-Kuan Cheng, Jun Gu: Stairway Compaction using Corner Block List and Its Applications with Rectilinear Blocks. VLSI Design 2002: 387-392
12EEJingyu Xu, Xianlong Hong, Tong Jing, Yici Cai, Jun Gu: An Efficient Hierarchical Timing-Driven Steiner Tree Algorithm for Global Routing. VLSI Design 2002: 473-478
11EESheqin Dong, Shuo Zhou, Xianlong Hong, Chung-Kuan Cheng, Jun Gu, Yici Cai: An Optimum Placement Search Algorithm Based on Extended Corner Block List. J. Comput. Sci. Technol. 17(6): 699-707 (2002)
2001
10EEYuchun Ma, Sheqin Dong, Xianlong Hong, Yici Cai, Chung-Kuan Cheng, Jun Gu: VLSI floorplanning with boundary constraints based on corner block list. ASP-DAC 2001: 509-514
9EEWenting Hou, Hong Yu, Xianlong Hong, Yici Cai, Weimin Wu, Jun Gu, William H. Kao: A new congestion-driven placement algorithm based on cell inflation. ASP-DAC 2001: 605-608
8EEYuchun Ma, Xianlong Hong, Sheqin Dong, Yici Cai, Chung-Kuan Cheng, Jun Gu: Floorplanning with Abutment Constraints and L-Shaped/T-Shaped Blocks based on Corner Block List. DAC 2001: 770-775
7EEXiaohai Wu, Xianlong Hong, Yici Cai, Chung-Kuan Cheng, Jun Gu, Wayne Wei-Ming Dai: Area Minimization of Power Distribution Network Using Efficient Nonlinear Programming Techniques. ICCAD 2001: 153-157
6EEYuchun Ma, Xianlong Hong, Sheqin Dong, Yici Cai, Chung-Kuan Cheng, Jun Gu: Floorplanning with abutment constraints based on corner block list. Integration 31(1): 65-77 (2001)
2000
5EEZhang Yan, Wang Baohua, Yici Cai, Xianlong Hong: Area routing oriented hierarchical corner stitching with partial bin. ASP-DAC 2000: 105-110
4EEHong Yu, Xianlong Hong, Yici Cai: MMP: a novel placement algorithm for combined macro block and standard cell layout design. ASP-DAC 2000: 271-276
3 Xianlong Hong, Gang Huang, Yici Cai, Jiangchun Gu, Sheqin Dong, Chung-Kuan Cheng, Jun Gu: Corner Block List: An Effective and Efficient Topological Representation of Non-Slicing Floorplan. ICCAD 2000: 8-12
1999
2EEHaiyun Bao, Xianlong Hong, Yici Cai: A New Global Routing Algorithm Independent Of Net Ordering. ASP-DAC 1999: 245-248
1EEGang Huang, Xianlong Hong, Changge Qiao, Yici Cai: A Timing-Driven Block Placer Based on Sequence Pair Model. ASP-DAC 1999: 249-252

Coauthor Index

1Haiyun Bao [2] [14]
2Wang Baohua [5]
3Jinian Bian [46] [110] [113] [115]
4Juanjuan Chen [110]
5Song Chen [17] [18] [20] [21] [33] [34]
6Chung-Kuan Cheng [3] [6] [7] [8] [10] [11] [13] [17] [18] [20] [21] [22] [23] [33] [34]
7Charles Chiang [73]
8Jian Cui [90]
9Wayne Wei-Ming Dai [7] [22]
10Liu Dawei [115]
11Sheqin Dong [3] [6] [8] [10] [11] [13] [17] [18] [20] [21] [23] [33] [34]
12Jeffrey Fan [64] [65] [79] [83] [91]
13Jingjing Fu [24] [35] [56] [62]
14Jiangchun Gu [3]
15Jun Gu [3] [6] [7] [8] [9] [10] [11] [12] [13] [14] [16] [17] [18] [20] [21] [22] [23] [33] [34] [80]
16Liangpeng Guo [93] [101] [114]
17Lei He [30]
18Xianlong Hong [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15] [16] [17] [18] [19] [20] [21] [22] [23] [24] [25] [26] [27] [28] [29] [30] [31] [32] [33] [34] [35] [36] [37] [38] [39] [40] [41] [42] [43] [44] [45] [46] [47] [48] [49] [50] [51] [52] [53] [54] [55] [56] [57] [58] [59] [60] [61] [62] [63] [64] [65] [66] [67] [68] [69] [70] [71] [72] [73] [74] [75] [76] [77] [78] [79] [80] [81] [82] [83] [84] [85] [86] [87] [88] [89] [90] [91] [92] [93] [94] [95] [96] [97] [98] [99] [100] [101] [102] [103] [104] [105] [106] [107] [108] [109] [110] [111] [112] [113] [114] [115]
19Wenting Hou [9] [15] [19] [58]
20Jiang Hu [41] [52] [54] [57] [69] [84] [87] [99] [102] [107] [109] [113]
21Gang Huang [1] [3]
22Liang Huang [41] [52] [54] [57]
23Yanming Jia [97] [104]
24Tong Jing [12] [14] [16]
25Le Kang [92] [94] [114]
26William H. Kao [9]
27Duo Li [77] [78]
28Hang Li [45] [53] [64]
29Hao Li [95]
30Shuai Li [111]
31Zhuoyuan Li [46]
32I-Fan Liao [65]
33Bin Liu [27] [40] [44] [47] [51] [63] [75] [82] [86]
34Pu Liu [90]
35Bing Lu [69] [87] [99]
36Yongqiang Lu [19] [28] [41] [52] [54] [57] [80]
37Lijuan Luo [71]
38Qinglang Luo [59]
39Zuying Luo [22] [24] [25] [35] [38] [56] [62]
40Yuchun Ma [6] [8] [10] [13] [17] [18] [20] [21] [23] [33] [34]
41Ning Mi [90] [91] [103]
42Zhu Pan [24] [25] [35] [56] [58] [62] [100]
43Vijay Pitchumani [46]
44Zhenyu Qi [45] [53] [64]
45Changge Qiao [1]
46Prashant Saxena [46]
47Weixiang Shen [69] [84] [87] [99] [102] [107] [108] [109]
48Yin Shen [106]
49Jin Shi [38] [43] [67] [74] [83] [86] [92] [100] [111] [112]
50Rui Shi [98]
51Subarna Sinha [73]
52Chin-Ngai Sze [41]
53Cliff C. N. Sze (Chin Ngai Sze, Cliff N. Sze) [52] [57]
54Sheldon X.-D. Tan (Xiang-Dong Tan) [24] [25] [32] [35] [39] [43] [45] [53] [55] [56] [58] [62] [64] [65] [67] [74] [79] [83] [90] [91] [92] [94] [100] [103]
55Xiaoyi Wang [62] [112]
56Yanfeng Wang [88] [113]
57Yang Wang [31] [98]
58Yibo Wang [42] [49] [68] [71] [81] [105]
59Xing Wei [110]
60Xinjie Wei [29] [50] [61] [66] [89] [96]
61Lifeng Wu [45] [53] [58] [64]
62Weimin Wu [9] [15] [19]
63Xiaohai Wu [7] [22]
64Jinjun Xiong [30]
65Yan Xiong [63]
66Jingyu Xu [12] [14] [16]
67Xiong Yan [51]
68Zhang Yan [5]
69Changqi Yang [28]
70Hannah Honghua Yang (Honghua Yang) [28]
71Hannal Yang [46]
72Hailong Yao [26] [37] [60] [70] [73] [77] [85]
73Hong Yu [4] [9]
74Yiqian Zhang [48]
75Meng Zhao [29] [61]
76Xin Zhao [30] [36] [72]
77Qiang Zhou [26] [27] [28] [30] [31] [32] [36] [37] [39] [40] [41] [44] [46] [47] [51] [52] [54] [55] [57] [59] [60] [63] [71] [72] [75] [76] [78] [80] [82] [86] [88] [93] [94] [95] [98] [101] [106] [110] [113] [114] [115]
78Shuo Zhou [11]
79Yue Zhuo [95]
80Yi Zou [32] [39] [55] [76] [81] [92] [94]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)