dblp.uni-trier.dewww.uni-trier.de

Yvon Savaria

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo
Home Page

2009
125EEHouman Zarrabi, Asim J. Al-Khalili, Yvon Savaria: An interconnect-aware delay model for dynamic voltage scaling in NM technologies. ACM Great Lakes Symposium on VLSI 2009: 45-50
124EEAli Naderi, Mohamad Sawan, Yvon Savaria: A low-power 2GHz data conversion using delta modulation for portable application. Integration 42(1): 68-76 (2009)
123EEPatrick Mahoney, Yvon Savaria, Guy Bois, Patrice Plante: Performance Characterization for the Implementation of Content Addressable Memories Based on Parallel Hashing Memories. T. HiPEAC 2: 307-325 (2009)
2008
122EEMaria Mbaye, Normand Bélanger, Yvon Savaria, Samuel Pierre: Loop-oriented metrics for exploring an application-specific architecture design-space. ASAP 2008: 257-262
121EEAmine Anane, El Mostapha Aboulhamid, Julie Vachon, Yvon Savaria: Modeling and simulation of complex heterogeneous systems. ISCAS 2008: 2873-2876
120EEWayne Luk, Yvon Savaria, Oskar Mencer: Guest Editorial: 20 Years of ASAP. Signal Processing Systems 53(1-2): 1-2 (2008)
2007
119EEVincent Binet, Yvon Savaria, Michel Meunier, Yves Gagnon: Modeling the Substrate Noise Injected by a DC-DC Converter. ISCAS 2007: 309-312
118EER. Chebli, Mohamad Sawan, Yvon Savaria, Kamal El-Sankary: High-Voltage DMOS Integrated Circuits with Floating Gate Protection Technique. ISCAS 2007: 3343-3346
117EESyed Rafay Hasan, Yvon Savaria: Crosstalk Effects in Event-Driven Self-Timed Circuits Designed With 90nm CMOS Technology. ISCAS 2007: 629-632
116EEBill Pontikakis, Hung Tien Bui, François R. Boyer, Yvon Savaria: A Low-Complexity High-Speed Clock Generator for Dynamic Frequency Scaling of FPGA and Standard-Cell Based Designs. ISCAS 2007: 633-636
115EERahul Singh, Yves Audet, Yves Gagnon, Yvon Savaria: Integrated Circuit Trimming Technique for Offset Reduction in a Precision CMOS Amplifier. ISCAS 2007: 709-712
114EEN. Gorse, P. Bélanger, Alexandre Chureau, El Mostapha Aboulhamid, Yvon Savaria: A high-level requirements engineering methodology for electronic system-level design. Computers & Electrical Engineering 33(4): 249-268 (2007)
113EEMame Maria Mbaye, Normand Bélanger, Yvon Savaria, Samuel Pierre: A Novel Application-specific Instruction-set Processor Design Approach for Video Processing Acceleration. VLSI Signal Processing 47(3): 297-315 (2007)
2006
112EEHung Tien Bui, Yvon Savaria: High speed differential pulse-width control loop based on frequency-to-voltage converters. ACM Great Lakes Symposium on VLSI 2006: 53-56
111EEAli Naderi, Mohamad Sawan, Yvon Savaria: Design of an Active-RC Bandpass Filter for a Subsampling RF Delta Modulator. CCECE 2006: 967-970
110EEN. Ignat, B. Nicolescu, Yvon Savaria, Gabriela Nicolescu: Soft-error classification and impact analysis on real-time operating systems. DATE 2006: 182-187
109EEAbdelaziz Ammari, Régis Leveugle, B. Nicolescu, Yvon Savaria: Evaluation of a Software-Based Error Detection Technique by RT-Level Fault Injection. DELTA 2006: 488-493
108EEBill Pontikakis, François R. Boyer, Yvon Savaria: A 0.8V algorithmically defined buffer and ring oscillator low-energy design for nanometer SoCs. ISCAS 2006
107EEAli Naderi, Mohamad Sawan, Yvon Savaria: A novel 2-GHz band-pass delta modulator dedicated to wireless receivers. ISCAS 2006
106EES. Hashemi, Mohamad Sawan, Yvon Savaria: A power planning model for implantable stimulators. ISCAS 2006
105EEAmi Castonguay, Yvon Savaria: Architecture of a hypertransport tunnel. ISCAS 2006
104EEMaria Mbaye, D. Lebel, Normand Bélanger, Yvon Savaria, Samuel Pierre: Design exploration with an application-specific instruction-set processor for ELA deinterlacing. ISCAS 2006
103EEZ. Huang, Yvon Savaria, Mohamad Sawan, R. Meinga: High-voltage operational amplifier based on dual floating-gate transistors. ISCAS 2006
102EEHouman Zarrabi, Haydar Saaied, Asim J. Al-Khalili, Yvon Savaria: Zero skew differential clock distribution network. ISCAS 2006
101EEMarc-André Cantin, Yvon Savaria, D. Prodanos, Pierre Lavoie: A Metric for Automatic Word-Length Determination of Hardware Datapaths. IEEE Trans. on CAD of Integrated Circuits and Systems 25(10): 2228-2231 (2006)
2005
100EEAlexandre Chureau, Yvon Savaria, El Mostapha Aboulhamid: The Role of Model-Level Transactors and UML in Functional Prototyping of Systems-on-Chip: A Software-Radio Application. DATE 2005: 698-703
99EEAmi Castonguay, Yvon Savaria: A HyperTransport Chip-to-Chip Interconnect Tunnel Developed Using SystemC. IEEE International Workshop on Rapid System Prototyping 2005: 264-266
98EED. Marche, Yves Gagnon, Yvon Savaria: . A new switch compensation technique for inverted R-2R ladder DACs. ISCAS (1) 2005: 196-199
97EEH. G. Epassa, François R. Boyer, Yvon Savaria: Implementation of a cycle by cycle variable speed processor. ISCAS (4) 2005: 3335-3338
96EEA. Landry, Mohamed Nekili, Yvon Savaria: A novel 2 GHz multi-layer AMBA high-speed bus interconnect matrix for SoC platforms. ISCAS (4) 2005: 3343-3346
95EES. Catudal, Marc-André Cantin, Yvon Savaria: Parameters estimation applied to automatic video processing algorithms validation. ISCAS (4) 2005: 3439-3442
94EEMaria Mbaye, Normand Bélanger, Yvon Savaria, Samuel Pierre: Application specific instruction-set processor generation for video processing based on loop optimization. ISCAS (4) 2005: 3515-3518
93EEG. Wild, Yvon Savaria, Michel Meunier: Characterization of laser-induced photoexcitation effect on a surrounding CMOS ring oscillator. ISCAS (4) 2005: 3696-3699
92EEG. Provost, Marc-André Cantin, Mohamad Sawan, Christian Cardinal, Yvon Savaria, David Haccoun: Fast parameters optimization of an iterative decoder using a configurable hardware accelerator. ISCAS (4) 2005: 4159-4162
91EESimon Rioux, Alain Lacourse, Yvon Savaria, Michel Meunier: Design methods for CMOS low-current finely tunable voltage references covering a wide output range. ISCAS (5) 2005: 4257-4260
90EEMax-Elie Salomon, Abdelhakim Khouas, Yvon Savaria: A complete spurs distribution model for direct digital period synthesizers. ISCAS (5) 2005: 4859-4862
89EEDinh Hung Dang, Yvon Savaria, Mohamad Sawan: A novel approach for implementing ultra-high speed flash ADC using MCML circuits. ISCAS (6) 2005: 6158-6161
88EEWei Ling, Yvon Savaria: Analysis of Wave-Pipelined Domino Logic Circuit and Clocking Styles Subject to Parametric Variations. ISQED 2005: 688-693
87EERobert Grou-Szabo, Hany Ghattas, Yvon Savaria, Gabriela Nicolescu: Component-Based Methodology for Hardware Design of a Dataflow Processing Network. IWSOC 2005: 289-294
86EEBill Pontikakis, François R. Boyer, Yvon Savaria: Performance Improvement of Configurable Processor Architectures Using a Variable Clock Period. IWSOC 2005: 454-458
85EEHung Tien Bui, Yvon Savaria: A Generic Method for Embedded Measurement and Compensation of Process and Temperature Variations in SoCs. IWSOC 2005: 557-562
84EENoureddine Chabini, El Mostapha Aboulhamid, Ismaïl Chabini, Yvon Savaria: Scheduling and optimal register placement for synchronous circuits derived using software pipelining techniques. ACM Trans. Design Autom. Electr. Syst. 10(2): 187-204 (2005)
83EEHakim Khali, Yvon Savaria, Jean-Louis Houle: A system level implementation strategy and partitioning heuristic for LUT-based applications. Computers & Electrical Engineering 31(7): 485-502 (2005)
2004
82EEOlivier Duval, L.-P. Lafrance, Yvon Savaria, Pierre Desjardins: An Integrated Test Platform for Nanostructure Electrical Characterization. ICMENS 2004: 237-242
81EEMohammed Layachi, Yvon Savaria, Alain Rochefort: The Effect of p-Coupling on the Electronic Properties of 1, 4-Dithiol Benzene Stacking. ICMENS 2004: 588-592
80EEB. Nicolescu, Yvon Savaria, Raoul Velazco: Performance Evaluation and Failure Rate Prediction for the Soft Implemented Error Detection Technique. IOLTS 2004: 233-238
79 Kevin Peterson, Yvon Savaria: Assertion-based on-line verification and debug environment for complex hardware systems. ISCAS (2) 2004: 685-688
78EEBadre Izouggaghen, Abdelhakim Khouas, Yvon Savaria: Spurs modeling in direct digital period synthesizers related to phase accumulator truncation. ISCAS (3) 2004: 389-392
77 Olivier Duval, Yvon Savaria: An on-chip delay measurements module for nanostructures characterization. ISCAS (3) 2004: 721-724
76 Hung Tien Bui, Yvon Savaria: Shunt-peaking in MCML gates and its application in the design of a 20 Gb/s half-rate phase detector. ISCAS (4) 2004: 369-372
75 Dorin Emil Calbaza, Ioan Cordos, Nigel Seth-Smith, Yvon Savaria: An ADPLL circuit using a DDPS for genlock applications. ISCAS (4) 2004: 569-572
74EED. Morin, F. Normandin, M.-E. Grandmaison, H. Dang, Yvon Savaria, Mohamad Sawan: An Intellectual Property Module for Auto-Calibration of Time-Interleaved Pipelined Analog-to-Digital Converters. IWSOC 2004: 111-114
73EEHung Tien Bui, Yvon Savaria: 10 GHz PLL Using Active Shunt-Peaked MCML Gates and Improved Frequency Acquisition XOR Phase Detector in 0.18 µm CMOS. IWSOC 2004: 115-118
72EEPascal Nsame, Yvon Savaria: A Customizable Embedded SoC Platform Architecture. IWSOC 2004: 299-304
71EEAlexandre Chureau, Yvon Savaria, El Mostapha Aboulhamid: Interface-based Design of Systems-on-Chip using UML-RT. IWSOC 2004: 39-44
70EEL.-P. Lafrance, Yvon Savaria: A Framework for Implementing Reusable Digital Signal Processing Modules. IWSOC 2004: 51-54
69EES. Regimbal, Yvon Savaria, Guy Bois: Verification Strategy Determination Using Dependence Analysis of Transaction-Level Models. IWSOC 2004: 87-92
68EEMarc-André Cantin, S. Regimbal, S. Catudal, Yvon Savaria: A Unified Environment to Assess Image Quality in Video Processing. Journal of Circuits, Systems, and Computers 13(6): 1289-1306 (2004)
2003
67EENoureddine Chabini, Ismaïl Chabini, El Mostapha Aboulhamid, Yvon Savaria: Unification of basic retiming and supply voltage scaling to minimize dynamic power consumption for synchronous digital designs. ACM Great Lakes Symposium on VLSI 2003: 221-224
66EEMeng Lu, Yvon Savaria, Bing Qiu, Jacques Taillefer: IEEE 1149.1 Based Defect and Fault Tolerant Scan Chain for Wafer Scale Integration. DFT 2003: 18-25
65EEB. Nicolescu, P. Peronnard, Raoul Velazco, Yvon Savaria: Efficiency of Transient Bit-Flips Detection by Software Means: A Complete Study. DFT 2003: 377-384
64EEB. Nicolescu, Yvon Savaria, Raoul Velazco: SIED: Software Implemented Error Detection. DFT 2003: 589-596
63EEMathieu Renaud, Yvon Savaria: A CMOS three-state frequency detector complementary to an enhanced linear phase detector for PLL, DLL or high frequency clock skew measurement. ISCAS (3) 2003: 148-151
62EEYiyan Tang, Lie Qian, Yuke Wang, Yvon Savaria: A new memory reference reduction method for FFT implementation on DSP. ISCAS (4) 2003: 496-499
61EES. Regimbal, Jean-Francois Lemire, Yvon Savaria, Guy Bois, El Mostapha Aboulhamid, A. Baron: Automating Functional Coverage Analysis Based on an Executable Specification. IWSOC 2003: 228-234
60EEEric Granger, Yvon Savaria, Pierre Lavoie: A Pattern Reordering Approach Based on Ambiguity Detection for Online Category Learning. IEEE Trans. Pattern Anal. Mach. Intell. 25(4): 524-528 (2003)
59EENoureddine Chabini, Ismaïl Chabini, El Mostapha Aboulhamid, Yvon Savaria: Methods for minimizing dynamic power consumption in synchronous designs with multiple supply voltages. IEEE Trans. on CAD of Integrated Circuits and Systems 22(3): 346-351 (2003)
2002
58EEBing Qiu, Yvon Savaria, Meng Lu, Chunyan Wang, Claude Thibeault: Yield Modeling of a WSI Telecom Router Architecture. DFT 2002: 314-324
57EEJ. Dido, N. Geraudie, L. Loiseau, O. Payeur, Yvon Savaria, D. Poirier: A flexible floating-point format for optimizing data-paths and operators in FPGA based DSPs. FPGA 2002: 50-55
56EEY. Fouzar, Yvon Savaria, Mohamad Sawan: A CMOS phase-locked loop with an auto-calibrated VCO. ISCAS (3) 2002: 177-180
55EEA. Bendali, Yvon Savaria: Low-voltage bandgap reference with temperature compensation based on a threshold voltage technique. ISCAS (3) 2002: 201-204
54EEMathieu Renaud, Yvon Savaria: A linear phase detector for arbitrary clock signals. ISCAS (4) 2002: 775-778
53EEL.-P. Lafrance, Marc-André Cantin, Yvon Savaria, S. H. Sung, Pierre Lavoie: Architecture and performance characterization of hardware and software implementations of the Crozier frequency estimation algorithm. ISCAS (4) 2002: 823-826
52EEHakim Khali, Yvon Savaria: FPGA Implementation of a Sub-pixel Correction Algorithm for Active Laser Range Finders. MVA 2002: 604-606
51EEZhong-Fang Jin, J.-J. Laurin, Yvon Savaria: A practical approach to model long MIS interconnects in VLSI circuits. IEEE Trans. VLSI Syst. 10(4): 494-507 (2002)
2001
50 Noureddine Chabini, El Mostapha Aboulhamid, Yvon Savaria: Determining Schedules for Reducing Power Consumption Using Multiple Supply Voltages. ICCD 2001: 546-552
49EEMohamed Nekili, Yvon Savaria, Guy Bois: Minimizing process-induced skew using delay tuning. ISCAS (4) 2001: 426-429
48EEY. Fouzar, Yvon Savaria, Mohamad Sawan: A new controlled gain phase-locked loop technique. ISCAS (4) 2001: 810-813
47EEL. Theriault, D. Auder, Yvon Savaria: Performance estimators for hardware/software co-design. ISCAS (5) 2001: 17-20
46EEMarc-André Cantin, Yvon Savaria, D. Prodanos, Pierre Lavoie: An automatic word length determination method. ISCAS (5) 2001: 53-56
45 Noureddine Chabini, Yvon Savaria: Methods for optimizing register placement in synchronous circuits derived using software pipelining techniques. ISSS 2001: 209-214
44EEGinette Monté, Bernard Antaki, Serge Patenaude, Yvon Savaria, Claude Thibeault, Pieter M. Trouborst: Tools for the Characterization of Bipolar CML Testability. VTS 2001: 388-395
43EEFrançois R. Boyer, El Mostapha Aboulhamid, Yvon Savaria, Michel Boyer: Optimal design of synchronous circuits using software pipelining techniques. ACM Trans. Design Autom. Electr. Syst. 6(4): 516-532 (2001)
2000
42EEOlivier Hébert, Ivan C. Kraljic, Yvon Savaria: A method to derive application-specific embedded processing cores. CODES 2000: 88-92
1999
41EEBernard Antaki, Yvon Savaria, Nanhan Xiong, Saman Adham: Design For Testability Method for CML Digital Circuits. DATE 1999: 360-367
40EECynthia Cousineau, François Laperle, Yvon Savaria: Design of a JTAG Based Run Time Reconfigurable System. FCCM 1999: 268-269
39EEDorin Emil Calbaza, Yvon Savaria: Jitter model of direct digital synthesis clock generators. ISCAS (1) 1999: 1-4
38EEB. Le Chapelain, A. Mechain, Yvon Savaria, Guy Bois: Development of a high performance TSPC library for implementation of large digital building blocks. ISCAS (1) 1999: 443-446
37EEZhong-Fang Jin, J.-J. Laurin, Yvon Savaria, P. Garon: A new approach to analyze interconnect delays in RC wire models. ISCAS (6) 1999: 246-249
36EEB. Bosi, Guy Bois, Yvon Savaria: Reconfigurable pipelined 2-D convolvers for fast digital signal processing. IEEE Trans. VLSI Syst. 7(3): 299-308 (1999)
35EEPierre Lavoie, Jean-Francois Crespo, Yvon Savaria: Generalization, discrimination, and multiple categorization using adaptive resonance theory. IEEE Transactions on Neural Networks 10(4): 757-767 (1999)
1998
34EEDaniel Audet, Steve Masson, Yvon Savaria: Reducing Fault Sensitivity of Microprocessor-Based Systems by Modifying Workload Structure. DFT 1998: 241-
33EEPascal Poiré, Marc-André Cantin, Hervé Daniel, Yves Blaquière, Yvon Savaria: A Comparative Analysis of Fuzzy ART Neural Network Implementations: The Advantages of Reconfigurable Computing. FCCM 1998: 304-305
32EEMohamed Nekili, Yvon Savaria, Guy Bois: Design of Clock Distribution Networks in Presence of Process Variations. Great Lakes Symposium on VLSI 1998: 95-102
1997
31EEMichel Kafrouni, Claude Thibeault, Yvon Savaria: A Cost Model for VLSI / MCM Systems. DFT 1997: 148-156
30EEYves Gagnon, Yvon Savaria, Michel Meunier, Claude Thibeault: Are defect-tolerant circuits with redundancy really cost-effective? Complete and realistic cost model. DFT 1997: 157-165
29EEMohamed Nekili, Guy Bois, Yvon Savaria: Pipelined H-trees for high-speed clocking of large integrated systems in presence of process variations. IEEE Trans. VLSI Syst. 5(2): 161-174 (1997)
1996
28EEMohamed Soufi, Steve Rochon, Yvon Savaria, Bozena Kaminska: Design and performance of CMOS TSPC cells for high speed pseudo random testing. VTS 1996: 368-373
27 Yervant Zorian, Tom Anderson, Yvon Savaria, Claude Thibeault, André Ivanov: Panel Summaries. IEEE Design & Test of Computers 13(3): 6, 110-112 (1996)
26EEYves Blaquière, Michel Dagenais, Yvon Savaria: Timing analysis speed-up using a hierarchical and a multimode approach. IEEE Trans. on CAD of Integrated Circuits and Systems 15(2): 244-255 (1996)
25EEAdel Belhaouane, Yvon Savaria, Bozena Kaminska, Daniel Massicotte: Reconstruction method for jitter tolerant data acquisition system. J. Electronic Testing 9(1-2): 177-185 (1996)
1995
24EEJanusz Rzeszut, Bozena Kaminska, Yvon Savaria: A new method for testing mixed analog and digital circuits. Asian Test Symposium 1995: 127-132
23 Mohamed Soufi, Yvon Savaria, Bozena Kaminska: On Using Partial Reset for Pseudo-Random Testing. ISCAS 1995: 949-952
22EEMohamed Soufi, Yvon Savaria, Bozena Kaminska: On the design of at-speed testable VLSI circuits. VTS 1995: 290-295
21 Mohamed Soufi, Yvon Savaria, F. Darlay, Bozena Kaminska: Producing Reliable Initialization and Test of Sequential Circuits with Pseudorandom Vectors. IEEE Trans. Computers 44(10): 1251-1256 (1995)
20 Claude Thibeault, Yvon Savaria, Jean-Louis Houle: Equivalence Proofs of Some Yield Modeling Methods for Defect-Tolerant Integrated Circuits. IEEE Trans. Computers 44(5): 724-728 (1995)
1994
19 Rachid Kermouche, Yvon Savaria: Defect and Fault Tolerant Scan Chains. DFT 1994: 185-193
18 Abdessatar Abderrahman, Bozena Kaminska, Yvon Savaria: Estimation of Simultaneous Switching Power and Ground Noise of Static CMOS Combinational Circuits. EDAC-ETC-EUROASIC 1994: 658
17 Jean-Francois Crespo, Pierre Lavoie, Yvon Savaria: Fast Convergence with Low Precision Weights in ART1 Networks. ISCAS 1994: 237-240
16 Mohamed Nekili, Yvon Savaria, Guy Bois: A Fast Low-Power Driver for Long Interconnections in VLSI Systems. ISCAS 1994: 343-346
15 Sameh Ghannoum, Dmitri Chtchvyrkov, Yvon Savaria: A Comparative Study of Single-Phase Clocked Latches Using Estimation Criteria. ISCAS 1994: 347-350
14 Yvon Savaria, Dmitri Chtchvyrkov, John F. Currie: A Fast CMOS Voltage-Controlled Ring Oscillator. ISCAS 1994: 359-362
13 Naim Ben Hamida, Bozena Kaminska, Yvon Savaria: Pseudo-Random Vector Compaction for Sequential Testability. ISCAS 1994: 63-66
12 Claude Thibeault, Yvon Savaria, Jean-Louis Houle: A Fast Method to Evaluate the Optimum Number of Spares in Defect-Tolerant Integrated Circuits. IEEE Trans. Computers 43(6): 687-698 (1994)
11EEDaniel Audet, Yvon Savaria, N. Arel: Pipelining communications in large VLSI/ULSI systems. IEEE Trans. VLSI Syst. 2(1): 1-10 (1994)
1993
10 Fabrizio Lombardi, Mariagiovanna Sami, Yvon Savaria, Renato Stefanelli: The IEEE International Workshop on Defect and Fault Tolerance in VLSI Systems, October 27-29, 1993, Venice, Italy, Proceedings IEEE Computer Society 1993
9 J. Crépeau, Claude Thibeault, Yvon Savaria: Some Results on Yield and Local Design Rule Relaxation. DFT 1993: 144-151
8 Naim Ben Hamida, Bozena Kaminska, Yvon Savaria: Initiability: A Measure of Sequential Testability. ISCAS 1993: 1619-1622
7 Hakim Khali, Jean-Louis Houle, Yvon Savaria: A High Speed Parallel Structure for the Basic Wavelet Transform Algorithm. ISCAS 1993: 1971-1974
6 Mohamed Nekili, Yvon Savaria: Parallel Regeneration of Interconnections in VLSI & ULSI Circuits. ISCAS 1993: 2023-2026
1992
5EEClaude Thibeault, Yvon Savaria, Jean-Louis Houle: Test quality of hierarchical defect-tolerant integrated circuits. J. Electronic Testing 3(1): 93-102 (1992)
4 Daniel Audet, Yvon Savaria, Jean-Louis Houle: Performance improvements to VLSI parallel systems, using dynamic concatenation of processing resources. Parallel Computing 18(2): 149-167 (1992)
1989
3 Yvon Savaria, Bruno Laguë, Bozena Kaminska: A Pragmatic Approach to the Design of Self-Testing Circuits. ITC 1989: 745-754
2 Bozena Kaminska, Yvon Savaria: Design-for-Testability Using Test Design Yield and Decision Theory. ITC 1989: 884-892
1984
1 Yvon Savaria, Vinod K. Agarwal, Nicholas C. Rumin, Jeremiah F. Hayes: A Design for Machines with Built-In Tolerance to Soft Errors. ITC 1984: 649-659

Coauthor Index

1Abdessatar Abderrahman [18]
2El Mostapha Aboulhamid [43] [50] [59] [61] [67] [71] [84] [100] [114] [121]
3Saman Adham [41]
4Vinod K. Agarwal [1]
5Asim J. Al-Khalili (A. J. Al-Khalili) [102] [125]
6Abdelaziz Ammari [109]
7Amine Anane [121]
8Tom Anderson [27]
9Bernard Antaki [41] [44]
10N. Arel [11]
11D. Auder [47]
12Daniel Audet [4] [11] [34]
13Yves Audet [115]
14A. Baron [61]
15Normand Bélanger [94] [104] [113] [122]
16P. Bélanger [114]
17Adel Belhaouane [25]
18A. Bendali [55]
19Vincent Binet [119]
20Yves Blaquière [26] [33]
21Guy Bois [16] [29] [32] [36] [38] [49] [61] [69] [123]
22B. Bosi [36]
23François R. Boyer [43] [86] [97] [108] [116]
24Michel Boyer [43]
25Hung Tien Bui [73] [76] [85] [112] [116]
26Dorin Emil Calbaza [39] [75]
27Marc-André Cantin [33] [46] [53] [68] [92] [95] [101]
28Christian Cardinal [92]
29Ami Castonguay [99] [105]
30S. Catudal [68] [95]
31Ismaïl Chabini [59] [67] [84]
32Noureddine Chabini [45] [50] [59] [67] [84]
33B. Le Chapelain [38]
34R. Chebli [118]
35Dmitri Chtchvyrkov [14] [15]
36Alexandre Chureau [71] [100] [114]
37Ioan Cordos [75]
38Cynthia Cousineau [40]
39J. Crépeau [9]
40Jean-Francois Crespo [17] [35]
41John F. Currie [14]
42Michel Dagenais [26]
43Dinh Hung Dang [89]
44H. Dang [74]
45Hervé Daniel [33]
46F. Darlay [21]
47Pierre Desjardins [82]
48J. Dido [57]
49Olivier Duval [77] [82]
50Kamal El-Sankary [118]
51H. G. Epassa [97]
52Y. Fouzar [48] [56]
53Yves Gagnon [30] [98] [115] [119]
54P. Garon [37]
55N. Geraudie [57]
56Sameh Ghannoum [15]
57Hany Ghattas [87]
58N. Gorse [114]
59M.-E. Grandmaison [74]
60Eric Granger [60]
61Robert Grou-Szabo [87]
62David Haccoun [92]
63Naim Ben Hamida [8] [13]
64Syed Rafay Hasan [117]
65S. Hashemi [106]
66Jeremiah F. Hayes [1]
67Olivier Hébert [42]
68Jean-Louis Houle [4] [5] [7] [12] [20] [83]
69Z. Huang [103]
70N. Ignat [110]
71André Ivanov [27]
72Badre Izouggaghen [78]
73Zhong-Fang Jin [37] [51]
74Michel Kafrouni [31]
75Bozena Kaminska [2] [3] [8] [13] [18] [21] [22] [23] [24] [25] [28]
76Rachid Kermouche [19]
77Hakim Khali [7] [52] [83]
78Abdelhakim Khouas [78] [90]
79Ivan C. Kraljic [42]
80Alain Lacourse [91]
81L.-P. Lafrance [53] [70] [82]
82Bruno Laguë [3]
83A. Landry [96]
84François Laperle [40]
85J.-J. Laurin [37] [51]
86Pierre Lavoie [17] [35] [46] [53] [60] [101]
87Mohammed Layachi [81]
88D. Lebel [104]
89Jean-Francois Lemire [61]
90Régis Leveugle [109]
91Wei Ling [88]
92L. Loiseau [57]
93Fabrizio Lombardi [10]
94Meng Lu [58] [66]
95Wayne Luk [120]
96Patrick Mahoney [123]
97D. Marche [98]
98Daniel Massicotte [25]
99Steve Masson [34]
100Mame Maria Mbaye [113]
101Maria Mbaye [94] [104] [122]
102A. Mechain [38]
103R. Meinga [103]
104Oskar Mencer [120]
105Michel Meunier [30] [91] [93] [119]
106Ginette Monté [44]
107D. Morin [74]
108Ali Naderi [107] [111] [124]
109Mohamed Nekili [6] [16] [29] [32] [49] [96]
110B. Nicolescu [64] [65] [80] [109] [110]
111Gabriela Nicolescu [87] [110]
112F. Normandin [74]
113Pascal Nsame [72]
114Serge Patenaude [44]
115O. Payeur [57]
116P. Peronnard [65]
117Kevin Peterson [79]
118Samuel Pierre [94] [104] [113] [122]
119Patrice Plante [123]
120Pascal Poiré [33]
121D. Poirier [57]
122Bill Pontikakis [86] [108] [116]
123D. Prodanos [46] [101]
124G. Provost [92]
125Lie Qian [62]
126Bing Qiu [58] [66]
127S. Regimbal [61] [68] [69]
128Mathieu Renaud [54] [63]
129Simon Rioux [91]
130Alain Rochefort [81]
131Steve Rochon [28]
132Nicholas C. Rumin [1]
133Janusz Rzeszut [24]
134Haydar Saaied [102]
135Max-Elie Salomon [90]
136Mariagiovanna Sami [10]
137Mohamad Sawan [48] [56] [74] [89] [92] [103] [106] [107] [111] [118] [124]
138Nigel Seth-Smith [75]
139Rahul Singh [115]
140Mohamed Soufi [21] [22] [23] [28]
141Renato Stefanelli [10]
142S. H. Sung [53]
143Jacques Taillefer [66]
144Yiyan Tang [62]
145L. Theriault [47]
146Claude Thibeault [5] [9] [12] [20] [27] [30] [31] [44] [58]
147Pieter M. Trouborst [44]
148Julie Vachon [121]
149Raoul Velazco [64] [65] [80]
150Chunyan Wang [58]
151Yuke Wang [62]
152G. Wild [93]
153Nanhan Xiong [41]
154Houman Zarrabi [102] [125]
155Yervant Zorian [27]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)