dblp.uni-trier.dewww.uni-trier.de

Bipul Chandra Paul

Bipul C. Paul

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo
Home Page

2008
26EEBipul Chandra Paul, Shinobu Fujita, Masaki Okajima: ROM based logic (RBL) design: High-performance and low-power adders. ISCAS 2008: 796-799
2007
25EEMridul Agarwal, Bipul C. Paul, Ming Zhang, Subhasish Mitra: Circuit Failure Prediction and Its Application to Transistor Aging. VTS 2007: 277-286
24EEBipul Chandra Paul, Kunhyuk Kang, Haldun Kufluoglu, Muhammad Ashraful Alam, Kaushik Roy: Negative Bias Temperature Instability: Estimation and Design for Improved Reliability of Nanoscale Circuits. IEEE Trans. on CAD of Integrated Circuits and Systems 26(4): 743-751 (2007)
23EEBipul C. Paul, Shinobu Fujita, Masaki Okajima, Thomas Lee: Prospect of ballistic CNFET in high performance applications: Modeling and analysis. JETC 3(3): (2007)
2006
22EEBipul C. Paul, Shinobu Fujita, Masaki Okajima, Thomas Lee: Modeling and analysis of circuit performance of ballistic CNFET. DAC 2006: 717-722
21EEBipul Chandra Paul, Kunhyuk Kang, Haldun Kufluoglu, Muhammad Ashraful Alam, Kaushik Roy: Temporal performance degradation under NBTI: estimation and design for improved reliability of nanoscale circuits. DATE 2006: 780-785
20EEArijit Raychowdhury, Bipul Chandra Paul, Swarup Bhunia, Kaushik Roy: Ultralow power computing with sub-threshold leakage: a comparative study of bulk and SOI technologies. DATE 2006: 856-861
19EEKunhyuk Kang, Bipul C. Paul, Kaushik Roy: Statistical timing analysis using levelized covariance propagation considering systematic and random variations of process parameters. ACM Trans. Design Autom. Electr. Syst. 11(4): 848-879 (2006)
18EEAditya Bansal, Bipul Chandra Paul, Kaushik Roy: An Analytical Fringe Capacitance Model for Interconnects Using Conformal Mapping. IEEE Trans. on CAD of Integrated Circuits and Systems 25(12): 2765-2774 (2006)
17EEBipul Chandra Paul, Amit Agarwal, Kaushik Roy: Low-power design techniques for scaled technologies. Integration 39(2): 64-89 (2006)
16EEBipul C. Paul, Kaushik Roy: Impact of Body Bias on Delay Fault Testing of Sub-100 nm CMOS Circuits. J. Electronic Testing 22(2): 115-124 (2006)
2005
15EEKunhyuk Kang, Bipul Chandra Paul, Kaushik Roy: Statistical Timing Analysis using Levelized Covariance Propagation. DATE 2005: 764-769
14EEAmit Agarwal, Bipul Chandra Paul, Hamid Mahmoodi-Meimand, Animesh Datta, Kaushik Roy: A process-tolerant cache architecture for improved yield in nanoscale technologies. IEEE Trans. VLSI Syst. 13(1): 27-38 (2005)
13EEArijit Raychowdhury, Bipul Chandra Paul, Swarup Bhunia, Kaushik Roy: Computing with subthreshold leakage: device/circuit/architecture co-design for ultralow-power subthreshold operation. IEEE Trans. VLSI Syst. 13(11): 1213-1224 (2005)
2004
12EEWoopyo Jeong, Bipul Chandra Paul, Kaushik Roy: Adaptive supply voltage technique for low swing interconnects. ASP-DAC 2004: 284-287
11EESeung Hoon Choi, Bipul Chandra Paul, Kaushik Roy: Novel sizing algorithm for yield improvement under process variation in nanometer technology. DAC 2004: 454-459
10EEAmit Agarwal, Bipul Chandra Paul, Kaushik Roy: A Novel Fault Tolerant Cache to Improve Yield in Nanometer Technologies. IOLTS 2004: 149-154
9EEBipul Chandra Paul, Arijit Raychowdhury, Kaushik Roy: Device optimization for ultra-low power digital sub-threshold operation. ISLPED 2004: 96-101
8EEBipul Chandra Paul, Cassondra Neau, Kaushik Roy: Impact of Body Bias on Delay Fault Testing of Nanoscale CMOS Circuits. ITC 2004: 1269-1275
7EENaran Sirisantana, Bipul Chandra Paul, Kaushik Roy: Enhancing Yield at the End of the Technology Roadmap. IEEE Design & Test of Computers 21(6): 563-571 (2004)
2002
6EEBipul Chandra Paul, Kaushik Roy: Testing CrossTalk Induced Delay Faults in Static CMOS Circuits Through Dynamic Timing Analysis. ITC 2002: 384-390
5EESeung Hoon Choi, Bipul Chandra Paul, Kaushik Roy: Dynamic Noise Analysis with Capacitive and Inductive Coupling. VLSI Design 2002: 65-70
2001
4EEBipul Chandra Paul, Seung Hoon Choi, Yonghee Im, Kaushik Roy: Design Verification and Robust Design Technique for Cross-Talk Faults. Asian Test Symposium 2001: 449-
3EEHendrawan Soeleman, Kaushik Roy, Bipul Chandra Paul: Sub-Domino Logic: Ultra-Low Power Dynamic Sub-Threshold Digital Logic. VLSI Design 2001: 211-214
2EEHendrawan Soeleman, Kaushik Roy, Bipul Chandra Paul: Robust subthreshold logic for ultra-low power operation. IEEE Trans. VLSI Syst. 9(1): 90-99 (2001)
2000
1EEHendrawan Soeleman, Kaushik Roy, Bipul Chandra Paul: Robust ultra-low power sub-threshold DTMOS logic. ISLPED 2000: 25-30

Coauthor Index

1Amit Agarwal [10] [14] [17]
2Mridul Agarwal [25]
3Muhammad Ashraful Alam [21] [24]
4Aditya Bansal [18]
5Swarup Bhunia [13] [20]
6Seung Hoon Choi [4] [5] [11]
7Animesh Datta [14]
8Shinobu Fujita [22] [23] [26]
9Yonghee Im [4]
10Woopyo Jeong [12]
11Kunhyuk Kang [15] [19] [21] [24]
12Haldun Kufluoglu [21] [24]
13Thomas Lee [22] [23]
14Hamid Mahmoodi (Hamid Mahmoodi-Meimand) [14]
15Subhasish Mitra [25]
16Cassondra Neau [8]
17Masaki Okajima [22] [23] [26]
18Arijit Raychowdhury [9] [13] [20]
19Kaushik Roy [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15] [16] [17] [18] [19] [20] [21] [24]
20Naran Sirisantana [7]
21Hendrawan Soeleman [1] [2] [3]
22Ming Zhang [25]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)