dblp.uni-trier.dewww.uni-trier.de

Yehia Massoud

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2009
62 Fabrizio Lombardi, Sanjukta Bhanja, Yehia Massoud, R. Iris Bahar: Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, Boston Area, MA, USA, May 10-12 2009 ACM 2009
2008
61EEArthur Nieuwoudt, Jamil Kawa, Yehia Massoud: Impact of dummy filling techniques on interconnect capacitance and planarization in nano-scale process technology. ACM Great Lakes Symposium on VLSI 2008: 151-154
60EEHamid Nejati, Tamer Ragheb, Yehia Massoud: On the design of customizable low-voltage common-gate LNA-mixer pair using current and charge reusing techniques. ACM Great Lakes Symposium on VLSI 2008: 195-200
59EEArthur Nieuwoudt, Jamil Kawa, Yehia Massoud: Automated design of tunable impedance matching networks for reconfigurable wireless applications. DAC 2008: 498-503
58EETamer Ragheb, Yehia Massoud: On the modeling of resistance in graphene nanoribbon (GNR) for future interconnect applications. ICCAD 2008: 593-597
57EEArthur Nieuwoudt, Jamil Kawa, Yehia Massoud: Robust reconfigurable filter design using analytic variability quantification techniques. ICCAD 2008: 765-770
56EESami Kirolos, Yehia Massoud: Robust wide range of supply-voltage operation using continuous adaptive size-ratio gates. ISCAS 2008: 1232-1235
55EEStephen Pfetsch, Tamer Ragheb, Jason N. Laska, Hamid Nejati, Anna C. Gilbert, Martin Strauss, Richard G. Baraniuk, Yehia Massoud: On the feasibility of hardware implementation of sub-Nyquist random-sampling based analog-to-information conversion. ISCAS 2008: 1480-1483
54EEAmir Hosseini, Hamid Nejati, Yehia Massoud: An Analytical model for characteristic impedance in nanostrip plasmonic waveguides. ISCAS 2008: 2346-2349
53EESami Kirolos, Yehia Massoud, Yehea I. Ismail: Power-supply-variation-aware timing analysis of synchronous systems. ISCAS 2008: 2418-2421
52EEAmir Hosseini, Tamer Ragheb, Yehia Massoud: A fault-aware dynamic routing algorithm for on-chip networks. ISCAS 2008: 2653-2656
51EESami Kirolos, Yehia Massoud, Yehea I. Ismail: Accurate analytical delay modeling of CMOS clock buffers considering power supply variations. ISCAS 2008: 3394-3397
50EEYehia Massoud, Arthur Nieuwoudt: Performance analysis of optimized carbon nanotube interconnect. ISCAS 2008: 792-795
49EEHamid Nejati, Tamer Ragheb, Yehia Massoud: Analytical modeling of common-gate low noise amplifiers. ISCAS 2008: 888-891
48EEArthur Nieuwoudt, Yehia Massoud: Investigating the Design, Performance, and Reliability of Multi-Walled Carbon Nanotube Interconnect. ISQED 2008: 691-696
47EEArthur Nieuwoudt, Jamil Kawa, Yehia Massoud: Investigating the Impact of Fill Metal on Crosstalk-Induced Delay and Noise. ISQED 2008: 724-729
46EEMohamed El-Nozahi, Yehia Massoud: On the tapering Factor for Wide-Band Cascaded amplifiers. Journal of Circuits, Systems, and Computers 17(1): 67-75 (2008)
45EEMosin Mondal, Yehia Massoud: Accurate Analytical Modeling of Frequency Dependent Loop Self-inductance. Journal of Circuits, Systems, and Computers 17(1): 77-93 (2008)
44EESami Kirolos, Yehia Massoud: Dynamic voltage Scaling Continuous Adaptive-Size Cell Design Technique. Journal of Circuits, Systems, and Computers 17(5): 871-883 (2008)
2007
43 Hai Zhou, Enrico Macii, Zhiyuan Yan, Yehia Massoud: Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, Stresa, Lago Maggiore, Italy, March 11-13, 2007 ACM 2007
42EEArthur Nieuwoudt, Mehboob Alam, Yehia Massoud: Reduced-Order Wide-Band Interconnect Model Realization using Filter-Based Spline Interpolation. ASP-DAC 2007: 373-378
41EEMehboob Alam, Arthur Nieuwoudt, Yehia Massoud: Frequency Selective Model Order Reduction via Spectral Zero Projection. ASP-DAC 2007: 379-383
40EEArthur Nieuwoudt, Tamer Ragheb, Yehia Massoud: Hierarchical Optimization Methodology for Wideband Low Noise Amplifiers. ASP-DAC 2007: 68-73
39EEArthur Nieuwoudt, Mosin Mondal, Yehia Massoud: Predicting the Performance and Reliability of Carbon Nanotube Bundles for On-Chip Interconnect. ASP-DAC 2007: 708-713
38EEMosin Mondal, Andrew J. Ricketts, Sami Kirolos, Tamer Ragheb, Greg M. Link, Narayanan Vijaykrishnan, Yehia Massoud: Thermally robust clocking schemes for 3D integrated circuits. DATE 2007: 1206-1211
37EESoumya Eachempati, Arthur Nieuwoudt, Aman Gayasen, Narayanan Vijaykrishnan, Yehia Massoud: Assessing carbon nanotube bundle interconnect for future FPGA architectures. DATE 2007: 307-312
36EEJason N. Laska, Sami Kirolos, Marco F. Duarte, Tamer Ragheb, Richard G. Baraniuk, Yehia Massoud: Theory and Implementation of an Analog-to-Information Converter using Random Demodulation. ISCAS 2007: 1959-1962
35EEAmir Hosseini, Yehia Massoud: Subwavelength Plasmonic Bragg Reflector Structures for On-chip Optoelectronic Applications. ISCAS 2007: 2283-2286
34EEHamid Nejati, Tamer Ragheb, Arthur Nieuwoudt, Yehia Massoud: Modeling and Design of Ultrawideband Low Noise Amplifiers with Generalized Impedance Matching Networks. ISCAS 2007: 2622-2625
33EEYehia Massoud, Arthur Nieuwoudt, Tamer Ragheb: Variability-Aware Synthesis for Wideband Low Noise Amplifiers. ISCAS 2007: 3219-3222
32EEMehboob Alam, Arthur Nieuwoudt, Yehia Massoud: Wavelet-Based Interpolation Point Selection for Multi-Shifted Arnoldi. ISCAS 2007: 653-656
31EEMosin Mondal, Sami Kirolos, Yehia Massoud: Estimation of Capacitive Crosstalk-Induced Short-Circuit Energy. ISCAS 2007: 897-900
30EEArthur Nieuwoudt, Yehia Massoud: Assessing the Implications of Process Variations on Future Carbon Nanotube Bundle Interconnect Solutions. ISQED 2007: 119-126
29EEMehboob Alam, Arthur Nieuwoudt, Yehia Massoud: Wavelet-Based Passivity Preserving Model Order Reduction for Wideband Interconnect Characterization. ISQED 2007: 432-437
28EEMosin Mondal, Kartik Mohanram, Yehia Massoud: Parameter-Variation-Aware Analysis for Noise Robustness. ISQED 2007: 655-659
27EEMosin Mondal, Andrew J. Ricketts, Sami Kirolos, Tamer Ragheb, Greg M. Link, Narayanan Vijaykrishnan, Yehia Massoud: Mitigating Thermal Effects on Clock Skew with Dynamically Adaptive Drivers. ISQED 2007: 67-72
26EEArthur Nieuwoudt, Tamer Ragheb, Hamid Nejati, Yehia Massoud: Increasing Manufacturing Yield for Wideband RF CMOS LNAs in the Presence of Process Variations. ISQED 2007: 801-806
25EEMosin Mondal, Tamer Ragheb, Xiang Wu, Adnan Aziz, Yehia Massoud: Provisioning On-Chip Networks under Buffered RC Interconnect Delay Variations. ISQED 2007: 873-878
24EEArthur Nieuwoudt, Tamer Ragheb, Yehia Massoud: Systematic Design Optimization Methodology for Multi-Band CMOS Low Noise Amplifiers. ISVLSI 2007: 139-144
23EESoumya Eachempati, Narayanan Vijaykrishnan, Arthur Nieuwoudt, Yehia Massoud: Impact of Process Variations on Carbon Nanotube Bundle Interconnect for Future FPGA Architectures. ISVLSI 2007: 516-517
22EEXiang Wu, Tamer Ragheb, Adnan Aziz, Yehia Massoud: Implementing DSP Algorithms with On-Chip Networks. NOCS 2007: 307-316
21EEMosin Mondal, Yehia Massoud: A Methodology for the Estimation of Capacitive Crosstalk-Induced Short-Circuit Energy. Journal of Circuits, Systems, and Computers 16(3): 455-465 (2007)
20EEMehboob Alam, Arthur Nieuwoudt, Yehia Massoud: Efficient Multi-Shifted Arnoldi Projection Using Wavelet Transform. Journal of Circuits, Systems, and Computers 16(5): 699-709 (2007)
19EEArthur Nieuwoudt, Tamer Ragheb, Yehia Massoud: Narrow-band low-noise amplifier synthesis for high-performance system-on-chip design. Microelectronics Journal 38(12): 1123-1134 (2007)
2006
18EETamer Ragheb, Arthur Nieuwoudt, Yehia Massoud: Efficient modeling of integrated narrow-band low noise amplifiers for design space exploration. ACM Great Lakes Symposium on VLSI 2006: 187-191
17EEMohamed El-Nozahi, Yehia Massoud: An integrated circuit/behavioral simulation framework for continuous-time sigma-delta ADCs. ACM Great Lakes Symposium on VLSI 2006: 353-356
16EEArthur Nieuwoudt, Tamer Ragheb, Yehia Massoud: SOC-NLNA: synthesis and optimization for fully integrated narrow-band CMOS low noise amplifiers. DAC 2006: 879-884
15EEQing Su, Jamil Kawa, Charles Chiang, Yehia Massoud: Accurate modeling of substrate resistive coupling for floating substrates. ACM Trans. Design Autom. Electr. Syst. 11(1): 44-51 (2006)
14EEMosin Mondal, Yehia Massoud: Accurate Loop Self Inductance Bound for Efficient Inductance Screening. IEEE Trans. VLSI Syst. 14(12): 1393-1397 (2006)
13EEArthur Nieuwoudt, Yehia Massoud: Variability-Aware Multilevel Integrated Spiral Inductor Synthesis. IEEE Trans. on CAD of Integrated Circuits and Systems 25(12): 2613-2625 (2006)
12EEYehia Massoud, Arthur Nieuwoudt: Modeling and design challenges and solutions for carbon nanotube-based interconnect in future high performance integrated circuits. JETC 2(3): 155-196 (2006)
2005
11EEArthur Nieuwoudt, Yehia Massoud: Multi-level approach for integrated spiral inductor optimization. DAC 2005: 648-651
10 Arthur Nieuwoudt, Yehia Massoud: Robust automated synthesis methodology for integrated spiral inductors with variability. ICCAD 2005: 502-507
9 Mosin Mondal, Yehia Massoud: Reducing pessimism in RLC delay estimation using an accurate analytical frequency dependent model for inductance. ICCAD 2005: 691-696
2003
8EESoyoung Kim, Yehia Massoud, S. Simon Wong: On the Accuracy of Return Path Assumption for Loop Inductance Extraction for 0.1?m Technology and Beyond. ISQED 2003: 401-404
2002
7EEYehia Massoud, Jacob White: Improving the generality of the fictitious magnetic charge approach to computing inductances in the presence of permeable materials. DAC 2002: 552-555
6EEYehia Massoud, Jacob White: FastMag: a 3-D magnetostatic inductance extraction program for structures with permeable materials. ICCAD 2002: 478-484
5EEYehia Massoud, Jacob K. White: Simulation and modeling of the effect of substrate conductivity on coupling inductance and circuit crosstalk. IEEE Trans. VLSI Syst. 10(3): 286-291 (2002)
4EEYehia Massoud, Steve S. Majors, Jamil Kawa, Tareq Bustami, Don MacMillen, Jacob K. White: Managing on-chip inductive effects. IEEE Trans. VLSI Syst. 10(6): 789-798 (2002)
2001
3EEYehia Massoud, Jamil Kawa, Don MacMillen, Jacob White: Modeling and Analysis of Differential Signaling for Minimizing Inductive Cross-Talk. DAC 2001: 804-809
1999
2EEMattan Kamon, Nuno Alexandre Marques, Yehia Massoud, Luis Miguel Silveira, Jacob White: Interconnect Analysis: From 3-D Structures to Circuit Models. DAC 1999: 910-914
1998
1EEYehia Massoud, Steve S. Majors, Tareq Bustami, Jacob White: Layout Techniques for Minimizing On-Chip Interconnect Self Inductance. DAC 1998: 566-571

Coauthor Index

1Mehboob Alam [20] [29] [32] [41] [42]
2Adnan Aziz [22] [25]
3R. Iris Bahar [62]
4Richard G. Baraniuk [36] [55]
5Sanjukta Bhanja [62]
6Tareq Bustami [1] [4]
7Charles Chiang [15]
8Marco F. Duarte [36]
9Soumya Eachempati [23] [37]
10Mohamed El-Nozahi [17] [46]
11Aman Gayasen [37]
12Anna C. Gilbert [55]
13Amir Hosseini [35] [52] [54]
14Yehea I. Ismail [51] [53]
15Mattan Kamon [2]
16Jamil Kawa [3] [4] [15] [47] [57] [59] [61]
17Soyoung Kim [8]
18Sami Kirolos [27] [31] [36] [38] [44] [51] [53] [56]
19Jason N. Laska [36] [55]
20Greg M. Link [27] [38]
21Fabrizio Lombardi [62]
22Don MacMillen [3] [4]
23Enrico Macii [43]
24Steve S. Majors [1] [4]
25Nuno Alexandre Marques [2]
26Kartik Mohanram [28]
27Mosin Mondal [9] [14] [21] [25] [27] [28] [31] [38] [39] [45]
28Hamid Nejati [26] [34] [49] [54] [55] [60]
29Arthur Nieuwoudt [10] [11] [12] [13] [16] [18] [19] [20] [23] [24] [26] [29] [30] [32] [33] [34] [37] [39] [40] [41] [42] [47] [48] [50] [57] [59] [61]
30Stephen Pfetsch [55]
31Tamer Ragheb [16] [18] [19] [22] [24] [25] [26] [27] [33] [34] [36] [38] [40] [49] [52] [55] [58] [60]
32Andrew J. Ricketts [27] [38]
33Luis Miguel Silveira (L. Miguel Silveira) [2]
34Martin Strauss (Martin J. Strauss) [55]
35Qing Su [15]
36Narayanan Vijaykrishnan (Vijaykrishnan Narayanan) [23] [27] [37] [38]
37Jacob K. White (Jacob White) [1] [2] [3] [4] [5] [6] [7]
38S. Simon Wong [8]
39Xiang Wu [22] [25]
40Zhiyuan Yan [43]
41Hai Zhou [43]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)