dblp.uni-trier.dewww.uni-trier.de

Gu-Yeon Wei

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2009
24EEVijay Janapa Reddi, Meeta Sharma Gupta, Glenn H. Holloway, Gu-Yeon Wei, Michael D. Smith, David Brooks: Voltage emergency prediction: Using signatures to reduce operating margins. HPCA 2009: 18-29
23EEKevin Brownell, Ali Durlov Khan, David Brooks, Gu-Yeon Wei: Place and route considerations for voltage interpolated designs. ISQED 2009: 594-600
2008
22EEWonyoung Kim, Meeta Sharma Gupta, Gu-Yeon Wei, David Brooks: System level analysis of fast, per-core DVFS using on-chip switching regulators. HPCA 2008: 123-134
21EEMeeta Sharma Gupta, Krishna K. Rangan, Michael D. Smith, Gu-Yeon Wei, David M. Brooks: DeCoR: A Delayed Commit and Rollback mechanism for handling inductive noise in processors. HPCA 2008: 381-392
20EEKevin Brownell, Gu-Yeon Wei, David Brooks: Evaluation of voltage interpolation to address process variations. ICCAD 2008: 529-536
19EEMichael Karpelson, Gu-Yeon Wei, Robert J. Wood: A review of actuation and power electronics options for flapping-wing robotic insects. ICRA 2008: 779-786
18EEXiaoyao Liang, Gu-Yeon Wei, David Brooks: ReVIVaL: A Variation-Tolerant Architecture Using Voltage Interpolation and Variable Latency. ISCA 2008: 191-202
17EEMark Hempstead, Gu-Yeon Wei, David Brooks: System design considerations for sensor network applications. ISCAS 2008: 2566-2569
16EEXuning Chen, Gu-Yeon Wei, Li-Shiuan Peh: Design of low-power short-distance opto-electronic transceiver front-ends with scalable supply voltages and frequencies. ISLPED 2008: 277-282
15EEGu-Yeon Wei, David Brooks, Ali Durlov Khan, Xiaoyao Liang: Instruction-driven clock scheduling with glitch mitigation. ISLPED 2008: 357-362
14EEXiaoyao Liang, Ramon Canal, Gu-Yeon Wei, David Brooks: Replacing 6T SRAMs with 3T1D DRAMs in the L1 Data Cache to Combat Process Variability. IEEE Micro 28(1): 60-68 (2008)
2007
13EEMeeta Sharma Gupta, Jarod L. Oatley, Russ Joseph, Gu-Yeon Wei, David M. Brooks: Understanding voltage variations in chip multiprocessors using a distributed power-delivery network. DATE 2007: 624-629
12EERuwan N. S. Ratnayake, Erich F. Haratsch, Gu-Yeon Wei: A Bit-Node Centric Architecture for Low-Density Parity-Check Decoders. GLOBECOM 2007: 265-270
11EERuwan N. S. Ratnayake, Erich F. Haratsch, Gu-Yeon Wei: Serial Sum-Product Architecture for Low-Density Parity-Check Codes. ICCCN 2007: 154-158
10EEMeeta Sharma Gupta, Krishna K. Rangan, Michael D. Smith, Gu-Yeon Wei, David Brooks: Towards a software approach to mitigate voltage emergencies. ISLPED 2007: 123-128
9EEXiaoyao Liang, Ramon Canal, Gu-Yeon Wei, David Brooks: Process Variation Tolerant 3T1D-Based Cache Architectures. MICRO 2007: 15-26
2006
8EEMark Hempstead, Gu-Yeon Wei, David Brooks: Architecture and circuit techniques for low-throughput, energy-constrained systems across technology generations. CASES 2006: 368-378
7EEWai-Chi Fang, Sharon Kedar, Susan Owen, Gu-Yeon Wei, David Brooks, Jonathan Lees: System-on-Chip Architecture Design for Intelligent Sensor Networks. IIH-MSP 2006: 579-582
2005
6EEXuning Chen, Li-Shiuan Peh, Gu-Yeon Wei, Yue-Kai Huang, Paul R. Prucnal: Exploring the Design Space of Power-Aware Opto-Electronic Networked Systems. HPCA 2005: 120-131
5EEMark Hempstead, Nikhil Tripathi, Patrick Mauro, Gu-Yeon Wei, David Brooks: An Ultra Low Power System Architecture for Sensor Network Applications. ISCA 2005: 208-219
2004
4 Ruwan N. S. Ratnayake, Gu-Yeon Wei, Aleksandar Kavcic: Pipelined parallel architecture for high throughput MAP detectors. ISCAS (2) 2004: 505-508
3 Pavan Kumar Hanumolu, Bryan Casper, Randy Mooney, Gu-Yeon Wei, Un-Ku Moon: Jitter in high-speed serial and parallel links. ISCAS (4) 2004: 425-428
2 Yong-Cheol Bae, Gu-Yeon Wei: A mixed PLL/DLL architecture for low jitter clock generation. ISCAS (4) 2004: 788-791
1996
1EEGu-Yeon Wei, Mark Horowitz: A low power switching power supply for self-clocked systems. ISLPED 1996: 313-317

Coauthor Index

1Yong-Cheol Bae [2]
2David Brooks [5] [7] [8] [9] [10] [14] [15] [17] [18] [20] [22] [23] [24]
3David M. Brooks [13] [21]
4Kevin Brownell [20] [23]
5Ramon Canal [9] [14]
6Bryan Casper [3]
7Xuning Chen [6] [16]
8Wai-Chi Fang [7]
9Meeta Sharma Gupta [10] [13] [21] [22] [24]
10Pavan Kumar Hanumolu [3]
11Erich F. Haratsch [11] [12]
12Mark Hempstead [5] [8] [17]
13Glenn H. Holloway [24]
14Mark Horowitz [1]
15Yue-Kai Huang [6]
16Russ Joseph [13]
17Michael Karpelson [19]
18Aleksandar Kavcic [4]
19Sharon Kedar [7]
20Ali Durlov Khan [15] [23]
21Wonyoung Kim [22]
22Jonathan Lees [7]
23Xiaoyao Liang [9] [14] [15] [18]
24Patrick Mauro [5]
25Un-Ku Moon [3]
26Randy Mooney [3]
27Jarod L. Oatley [13]
28Susan Owen [7]
29Li-Shiuan Peh [6] [16]
30Paul R. Prucnal [6]
31Krishna K. Rangan [10] [21]
32Ruwan N. S. Ratnayake [4] [11] [12]
33Vijay Janapa Reddi [24]
34Michael D. Smith [10] [21] [24]
35Nikhil Tripathi [5]
36Robert J. Wood [19]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)