dblp.uni-trier.dewww.uni-trier.de

Wim Dehaene

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
21EEYves Vanderperren, Wim Dehaene: A subsampling pulsed UWB demodulator based on a flexible complex SVD. ASAP 2008: 114-119
20EEMarian Verhelst, Julien Ryckaert, Yves Vanderperren, Wim Dehaene: A Low Power, Reconfigurable IR-UWB System. ICC 2008: 3770-3774
19EEJorg Daniels, Wim Dehaene, Michiel Steyaert, Andreas Wiesbauer: A/D conversion using an Asynchronous Delta-Sigma Modulator and a time-to-digital converter. ISCAS 2008: 1648-1651
18EEHans Danneels, Marian Verhelst, Pieter Palmers, Wim Vereecken, Bruno Boury, Wim Dehaene, Michiel Steyaert, Georges G. E. Gielen: A low-power mixing DAC IR-UWB-receiver. ISCAS 2008: 2697-2700
17EEHua Wang, Francky Catthoor, Miguel Miranda, Wim Dehaene: Synthesis of Runtime Switchable Pareto Buffers Offering Full Range Fine Grained Energy/Delay Trade-Offs. Signal Processing Systems 52(2): 193-210 (2008)
2007
16EEGeorges G. E. Gielen, Wim Dehaene, Phillip Christie, Dieter Draxelmayr, Edmond Janssens, Karen Maex, Ted Vucurevich: Analog and Digital Circuit Design in 65 nm CMOS: End of the Road? CoRR abs/0710.4709: (2007)
15EEBruno Bougard, Francky Catthoor, Denis C. Daly, Anantha Chandrakasan, Wim Dehaene: Energy Efficiency of the IEEE 802.15.4 Standard in Dense Wireless Microsensor Networks: Modeling and Improvement Perspectives CoRR abs/0710.4732: (2007)
2006
14EEYves Vanderperren, Wim Dehaene: From UML/SysML to Matlab/Simulink: current state and future perspectives. DATE 2006: 93
13EEWolfgang Mueller, Alberto Rosti, Sara Bocchio, Elvinia Riccobene, Patrizia Scandurra, Wim Dehaene, Yves Vanderperren: UML for ESL design: basic principles, tools, and applications. ICCAD 2006: 73-80
12EEEvelyn Grossar, Michele Stucchi, Karen Maex, Wim Dehaene: Statistically Aware SRAM Memory Array Design. ISQED 2006: 25-30
11EEHua Wang, Miguel Miranda, Francky Catthoor, Wim Dehaene: On the Combined Impact of Soft and Medium Gate Oxide Breakdown and Process Variability on the Parametric Figures of SRAM components. MTDT 2006: 71-76
10EEBruno Bougard, Sofie Pollin, Antoine Dejonghe, Francky Catthoor, Wim Dehaene: Cross-layer power management in wireless networks and consequences on system-level architecture. Signal Processing 86(8): 1792-1803 (2006)
2005
9EEBruno Bougard, Francky Catthoor, Denis C. Daly, Anantha Chandrakasan, Wim Dehaene: Energy Efficiency of the IEEE 802.15.4 Standard in Dense Wireless Microsensor Networks: Modeling and Improvement Perspectives. DATE 2005: 196-201
8EEGeorges G. E. Gielen, Wim Dehaene, Phillip Christie, Dieter Draxelmayr, Edmond Janssens, Karen Maex, Ted Vucurevich: Analog and Digital Circuit Design in 65 nm CMOS: End of the Road? DATE 2005: 36-42
7EEYves Vanderperren, Wim Dehaene: UML 2 and SysML: An Approach to Deal with Complexity in SoC/NoC Design. DATE 2005: 716-717
6EEHua Wang, Miguel Miranda, Wim Dehaene, Francky Catthoor, Karen Maex: Systematic Analysis of Energy and Delay Impact of Very Deep Submicron Process Variability Effects in Embedded SRAM Modules. DATE 2005: 914-919
5EEYves Vanderperren, Wim Dehaene: The SysML profile for embedded system modelling. FDL 2005: 589-598
4EEHua Wang, Miguel Miranda, Antonis Papanikolaou, Francky Catthoor, Wim Dehaene: Variable tapered pareto buffer design and implementation allowing run-time configuration for low-power embedded SRAMs. IEEE Trans. VLSI Syst. 13(10): 1127-1135 (2005)
3EEBruno Bougard, M. Rullmann, Erik Brockmeyer, Liesbet Van der Perre, Francky Catthoor, Wim Dehaene: Energy Efficient Memory Architecture for High Speed Decoding of Block Turbo-Codes with the Fang-Buda Algorithm. VLSI Signal Processing 39(1-2): 79-92 (2005)
2004
2EEMarian Verhelst, Wim Vereecken, Michiel Steyaert, Wim Dehaene: Architectures for low power ultra-wideband radio receivers in the 3.1-5GHz band for data rates < 10Mbps. ISLPED 2004: 280-285
2003
1EEAli Sayinta, Gorkem Canverdi, Marc Pauwels, Amer Alshawa, Wim Dehaene: A Mixed Abstraction Level Co-Simulation Case Study Using SystemC for System on Chip Verification. DATE 2003: 20095-20100

Coauthor Index

1Amer Alshawa [1]
2Sara Bocchio [13]
3Bruno Bougard [3] [9] [10] [15]
4Bruno Boury [18]
5Erik Brockmeyer [3]
6Gorkem Canverdi [1]
7Francky Catthoor [3] [4] [6] [9] [10] [11] [15] [17]
8Anantha Chandrakasan (Anantha P. Chandrakasan) [9] [15]
9Phillip Christie [8] [16]
10Denis C. Daly [9] [15]
11Jorg Daniels [19]
12Hans Danneels [18]
13Antoine Dejonghe [10]
14Dieter Draxelmayr [8] [16]
15Georges G. E. Gielen [8] [16] [18]
16Evelyn Grossar [12]
17Edmond Janssens [8] [16]
18Karen Maex [6] [8] [12] [16]
19Miguel Miranda [4] [6] [11] [17]
20Wolfgang Mueller [13]
21Pieter Palmers [18]
22Antonis Papanikolaou [4]
23Marc Pauwels [1]
24Liesbet Van der Perre [3]
25Sofie Pollin [10]
26Elvinia Riccobene [13]
27Alberto Rosti [13]
28M. Rullmann [3]
29Julien Ryckaert [20]
30Ali Sayinta [1]
31Patrizia Scandurra [13]
32Michiel Steyaert [2] [18] [19]
33Michele Stucchi [12]
34Yves Vanderperren [5] [7] [13] [14] [20] [21]
35Wim Vereecken [2] [18]
36Marian Verhelst [2] [18] [20]
37Ted Vucurevich [8] [16]
38Hua Wang [4] [6] [11] [17]
39Andreas Wiesbauer [19]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)