dblp.uni-trier.dewww.uni-trier.de

Zhihua Wang

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
44EEZhihua Wang, Songping Mai, Chun Zhang: Power Issues on Circuit Design for Cochlear Implants. DELTA 2008: 163-166
43EEMing Liu, Hong Chen, Run Chen, Zhihua Wang: Low-power IC design for a wireless BCI system. ISCAS 2008: 1560-1563
42EETongqiang Gao, Chun Zhang, Baoyong Chi, Zhihua Wang: An improved method of power control with CMOS class-E power amplifiers. ISCAS 2008: 2306-2309
41EEBaoyong Chi, Chun Zhang, Zhihua Wang: Bandwidth extension for ultra-wideband CMOS low-noise amplifiers. ISCAS 2008: 968-971
40EEShuilong Huang, Zhihua Wang: System Design Considerations of Highly-Integrated SigmaDelta fractional-n Frequency synthesizer. Journal of Circuits, Systems, and Computers 17(2): 169-181 (2008)
2007
39EEShuilong Huang, Huainan Ma, Zhihua Wang: Modeling and simulation to the design of SigmaDelta fractional-N frequency synthesizer. DATE 2007: 291-296
38EEXiaowen Li, Xinkai Chen, Xiang Xie, Guolin Li, Li Zhang, Chun Zhang, Zhihua Wang: A Low Power, Fully Pipelined JPEG-LS Encoder for Lossless Image Compression. ICME 2007: 1906-1909
37EEXiaowen Li, Xinkai Chen, Xiang Xie, Guolin Li, Li Zhang, Zhihua Wang: Pre-Processing and Vector Quantization Based Approach for CFA Data Compression in Wireless Endoscopy Capsule. ISBI 2007: 1172-1175
36EEXiaoWen Li, Xiang Xie, Xinkai Chen, Guolin Li, Li Zhang, Zhihua Wang, Hong Chen: Design and Implementation of a Low Complexity Near-lossless Image Compression Method for Wireless Endoscopy Capsule System. ISCAS 2007: 1321-1324
35EEXinkai Chen, Guolin Li, Xiang Xie, XiaoWen Li, Zhihua Wang, Hong Chen: A Low Power Digital Baseband for Wireless Endoscope Capsule. ISCAS 2007: 2355-2358
34EELi Zhang, Baoyong Chi, Zhihua Wang, Hongyi Chen, Jinke Yao, Ende Wu: A 2-GHz 6.1-mA Fully-Differential CMOS Phase-Locked Loop. ISCAS 2007: 2447-2450
33EEYike Cui, Baoyong Chi, Minjie Liu, Yulei Zhang, Yongming Li, Zhihua Wang, Patrick Chiang: Process Variation Compensation of a 2.4GHz LNA in 0.18um CMOS Using Digitally Switchable Capacitance. ISCAS 2007: 2562-2565
32EEBaoyong Chi, Xueyi Yu, Woogeun Rhee, Zhihua Wang: A Fractional-N PLL for Digital Clock Generation With an FIR-Embedded Frequency Divider. ISCAS 2007: 3051-3054
31EEJingbo Duan, Fule Li, Liyuan Liu, Dongmei Li, Yongming Li, Zhihua Wang: A Pipelined A/D Conversion Technique with Low INL and DNL. ISCAS 2007: 3391-3394
30EEFule Li, Zhihua Wang, Dongmei Li: An Incomplete Settling Technique for Pipelined Analog-to-Digital Converters. ISCAS 2007: 3590-3593
29EETongqiang Gao, Dongmei Li, Baoyong Chi, Zhihua Wang: A CMOS class-E Power Amplifiers with Power Control. ISCAS 2007: 3900-3903
28EEShuilong Huang, Zhihua Wang: A dual-slope PFD/CP frequency synthesizer architecture with an adaptive self-tuning algorithm. ISCAS 2007: 3924-3927
27EEHong Chen, Chen Jia, Chun Zhang, Zhihua Wang, Chunsheng Liu: Power Harvesting With PZT Ceramics. ISCAS 2007: 557-560
26EEYinghui Zhang, Zhiwei Wang, Qinghua Zeng, Haolei Yang, Zhihua Wang: Application of the Agamogenetic Algorithm to Solve the Traveling Salesman Problem. LSMS (1) 2007: 135-143
25EERun Chen, Liyuan Liu, Dongmei Li, Zhihua Wang: Full custom design of a three-stage amplifier with 5500MHz·pF/mW Performance in 0.18 mum CMO S. VLSI-SoC 2007: 242-247
24EEBaoyong Chi, Jinke Yao, Shuguang Han, Xiang Xie, Guolin Li, Zhihua Wang: Low power high data rate wireless endoscopy transceiver. Microelectronics Journal 38(10-11): 1070-1081 (2007)
2006
23EEDingkun Du, Yongming Li, Zhihua Wang, Seeteck Tan: An Active-RC Complex Filter with Mixed Signal Tuning System for Low-IF Receiver. APCCAS 2006: 1031-1034
22EEChangming Ma, Chun Zhang, Zhihua Wang: Power Analysis for the MOS AC/DC Rectifier of Passive RFID Transponders. APCCAS 2006: 1350-1353
21EEShuilong Huang, Zhihua Wang, Huainan Ma: A Fast 1.9 GHz Fractional-N/Integer Frequency Synthesizer with a Self-tuning Algorithm. APCCAS 2006: 203-206
20EEBaoyong Chi, Jinke Yao, Shuguang Han, Xiang Xie, Guolin Li, Zhihua Wang: A 2.4GHz low power wireless transceiver analog front-end for endoscopy capsule system. ISCAS 2006
19EEXiaokang Guan, A. Wang, A. Ishikawa, T. Tamura, Zhihua Wang, Chun Zhang: A 3V 110µW 3.1 ppm/°C curvature-compensated CMOS bandgap reference. ISCAS 2006
18EEJinke Yao, Baoyong Chi, Zhihua Wang: A 4MHz Gm-C filter with on-chip frequency automatic tuning. ISCAS 2006
17EEBaoyong Chi, Bingxue Shi, Zhihua Wang: A CMOS down-conversion micromixer for IEEE 802.11b WLAN transceivers. ISCAS 2006
16EEXiang Xie, Guolin Li, Zhihua Wang: A new VLSI structure for an improved near-lossless color image compression algorithm inside wireless endoscopy capsule. ISCAS 2006
15EESongping Mai, Kun Yang, Wenli Lan, Chun Zhang, Zhihua Wang: An open-source based DSP with enhanced multimedia-processing capacity for embedded applications. ISCAS 2006
14EEMian Dong, Chun Zhang, Songping Mai, Zhihua Wang, Dongmei Li: A Wideband Frequency-Shift Keying Demodulator for Wireless Neural Stimulation Microsystems. VLSI Design 2006: 521-524
13EEZhihua Wang, Xiaofeng Chen, Bing Xu: Generalization of functional equation for the square root spiral. Applied Mathematics and Computation 182(2): 1355-1360 (2006)
2005
12EEZiqiang Wang, Baoyong Chi, Min Lin, Shuguang Han, Lu Liu, Jinke Yao, Zhihua Wang: A monolithic CMOS L band DAB receiver. ASP-DAC 2005: 1232-1235
11EEXiang Xie, Guolin Li, Dongmei Li, Chun Zhang, Zhihua Wang: A new near-lossless image compression algorithm suitable for hardware design in wireless endoscopy system. ICIP (1) 2005: 1125-1128
10EELu Liu, Zhihua Wang, Guolin Li: Calculation of intermodulation distortion in CMOS transconductance stage. ISCAS (4) 2005: 3700-3703
9EEXiang Xie, Guolin Li, Zhihua Wang, Chun Zhang, Dongmei Li, XiaoWen Li: A novel method of lossy image compression for digital image sensors with Bayer color filter arrays. ISCAS (5) 2005: 4995-4998
8EELu Liu, Zhihua Wang: A new high gain low voltage 1.45 GHz CMOS mixer. ISCAS (5) 2005: 5023-5026
7EEZihong Liu, Zhihua Wang, Guolin Li, Zhiping Yu: A Novel Solid Neuron-Network Chip Based on Both Biological and Artificial Neural Network Theories. ISNN (1) 2005: 479-484
2004
6EEAlberto Lerner, Dennis Shasha, Zhihua Wang, Xiaojian Zhao, Yunyue Zhu: Fast Algorithms for Time Series with applications to Finance, Physics, Music, Biology, and other Suspects. SIGMOD Conference 2004: 965-968
2002
5EELeibo Liu, Xuejin Wang, Hongying Meng, Li Zhang, Zhihua Wang, Hongyi Chen: A VLSI architecture of spatial combinative lifting algorithm based 2-D DWT/IDWT. APCCAS (2) 2002: 299-304
1998
4EEZhihua Wang, Georges G. E. Gielen, Willy M. C. Sansen: Probabilistic fault detection and the selection of measurements for analog integrated circuits. IEEE Trans. on CAD of Integrated Circuits and Systems 17(9): 862-872 (1998)
1994
3 Zhihua Wang, Stephen W. Director: An Efficient Yield Optimization Method Using A Two Step Linear Approximation of Circuit Performance. EDAC-ETC-EUROASIC 1994: 567-571
2EEGeorges G. E. Gielen, Zhihua Wang, Willy M. C. Sansen: Fault detection and input stimulus determination for the testing of analog integrated circuits based on power-supply current monitoring. ICCAD 1994: 495-498
1 Zhihua Wang, Georges G. E. Gielen, Willy M. C. Sansen: A Novel Method for the Fault Detection of Analog Integrated Circuits. ISCAS 1994: 347-350

Coauthor Index

1Hong Chen [27] [35] [36] [43]
2Hongyi Chen [5] [34]
3Run Chen [25] [43]
4Xinkai Chen [35] [36] [37] [38]
5Xiaofeng Chen [13]
6Baoyong Chi [12] [17] [18] [20] [24] [29] [32] [33] [34] [41] [42]
7Patrick Chiang [33]
8Yike Cui [33]
9Stephen W. Director [3]
10Mian Dong [14]
11Dingkun Du [23]
12Jingbo Duan [31]
13Tongqiang Gao [29] [42]
14Georges G. E. Gielen [1] [2] [4]
15Xiaokang Guan [19]
16Shuguang Han [12] [20] [24]
17Shuilong Huang [21] [28] [39] [40]
18A. Ishikawa [19]
19Chen Jia [27]
20Wenli Lan [15]
21Alberto Lerner [6]
22Dongmei Li [9] [11] [14] [25] [29] [30] [31]
23Fule Li [30] [31]
24Guolin Li [7] [9] [10] [11] [16] [20] [24] [35] [36] [37] [38]
25XiaoWen Li [9] [35] [36]
26Xiaowen Li [37] [38]
27Yongming Li [23] [31] [33]
28Min Lin [12]
29Chunsheng Liu [27]
30Leibo Liu [5]
31Liyuan Liu [25] [31]
32Lu Liu [8] [10] [12]
33Ming Liu [43]
34Minjie Liu [33]
35Zihong Liu [7]
36Changming Ma [22]
37Huainan Ma [21] [39]
38Songping Mai [14] [15] [44]
39Hongying Meng [5]
40Woogeun Rhee [32]
41Willy M. C. Sansen [1] [2] [4]
42Dennis Shasha [6]
43Bingxue Shi [17]
44T. Tamura [19]
45Seeteck Tan [23]
46A. Wang [19]
47Xuejin Wang [5]
48Zhiwei Wang [26]
49Ziqiang Wang [12]
50Ende Wu [34]
51Xiang Xie [9] [11] [16] [20] [24] [35] [36] [37] [38]
52Bing Xu [13]
53Haolei Yang [26]
54Kun Yang [15]
55Jinke Yao [12] [18] [20] [24] [34]
56Xueyi Yu [32]
57Zhiping Yu [7]
58Qinghua Zeng [26]
59Chun Zhang [9] [11] [14] [15] [19] [22] [27] [38] [41] [42] [44]
60Li Zhang [5] [34] [36] [37] [38]
61Yinghui Zhang [26]
62Yulei Zhang [33]
63Xiaojian Zhao [6]
64Yunyue Zhu [6]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)