dblp.uni-trier.dewww.uni-trier.de

Weiwu Hu

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2009
30EEYunji Chen, Yi Lv, Weiwu Hu, Tianshi Chen, Haihua Shen, Pengyu Wang, Hong Pan: Fast complete memory consistency verification. HPCA 2009: 381-392
29EEYunji Chen, Tianshi Chen, Weiwu Hu: Global Clock, Physical Time Order and Pending Period Analysis in Multiprocessor Systems CoRR abs/0903.4961: (2009)
2008
28EEFeng Zhang, Zongren Yang, Wei Feng, Hao Cui, Lingyi Huang, Weiwu Hu: A High Speed CMOS Transmitter and Rail-to-Rail Receiver. DELTA 2008: 67-70
27EEHongbo Zeng, Jun Wang, Ge Zhang, Weiwu Hu: An interconnect-aware power efficient cache coherence protocol for CMPs. IPDPS 2008: 1-11
26EEQifei Fan, Ge Zhang, Weiwu Hu: A synchronized variable frequency clock scheme in chip multiprocessors. ISCAS 2008: 3410-3413
2007
25EEHongbo Zeng, Kun Huang, Ming Wu, Weiwu Hu: Concerning with On-Chip Network Features to Improve Cache Coherence Protocols for CMPs. Asia-Pacific Computer Systems Architecture Conference 2007: 304-314
24EEJun Wang, Ge Zhang, Weiwu Hu: An Efficient Error Control Scheme for Chip-to-Chip Optical Interconnects. ISCAS 2007: 3712-3715
23EEHou Rui, Longbing Zhang, Weiwu Hu: Accelerating sequential programs on Chip Multiprocessors via Dynamic Prefetching Thread. Microprocessors and Microsystems 31(3): 200-211 (2007)
2006
22EEDandan Huan, Zusong Li, Weiwu Hu, Zhiyong Liu: Processor Directed Dynamic Page Policy. Asia-Pacific Computer Systems Architecture Conference 2006: 109-122
21EEHou Rui, Longbing Zhang, Weiwu Hu: A Hybrid Hardware/Software Generated Prefetching Thread Mechanism on Chip Multiprocessors. Euro-Par 2006: 506-516
20EEZusong Li, Xianchao Xu, Weiwu Hu, Zhimin Tang: Microarchitecture and Performance Analysis of Godson-2 SMT Processor. ICCD 2006
19EEGe Zhang, Weiwu Hu, Zichu Qi: Parallel Error Detection for Leading Zero Anticipation. J. Comput. Sci. Technol. 21(6): 901-906 (2006)
2005
18EEHou Rui, Fuxin Zhang, Weiwu Hu: A Memory Bandwidth Effective Cache Store Miss Policy. Asia-Pacific Computer Systems Architecture Conference 2005: 750-760
17EEGe Zhang, Zichu Qi, Weiwu Hu: A novel design of leading zero anticipation circuit with parallel error detection. ISCAS (1) 2005: 676-679
16EEWeiwu Hu, Fuxin Zhang, Zusong Li: Microarchitecture of the Godson-2 Processor. J. Comput. Sci. Technol. 20(2): 243-249 (2005)
2004
15EEGang Shi, Mingchang Hu, Hongda Yin, Weiwu Hu, Zhimin Tang: A shared virtual memory network with fast remote direct memory access and message passing. CLUSTER 2004: 495
2001
14EEWeiwu Hu, Gang Shi, Fuxin Zhang: Communication with Threads in Software DSM. CLUSTER 2001: 149-154
13 Haiming Liu, Weiwu Hu: A Comparison of Two Strategies of Dynamic Data Prefetching in Software DSM. IPDPS 2001: 62
12 Weiwu Hu, Weisong Shi, Zhimin Tang: Optimizing Home-Based Software DSM Protocols. Cluster Computing 4(3): 235-242 (2001)
11EEWeiwu Hu, Fuxin Zhang, Haiming Liu: Dynamic Data Prefetching in Home-Based Software DSMs. J. Comput. Sci. Technol. 16(3): 231-241 (2001)
2000
10EEWeiwu Hu, Fuxin Zhang, Haiming Liu: A New Home-Based Software DSM Protocol for SMP Clusters. Euro-Par 2000: 1132-1142
1999
9EEWeiwu Hu, Weisong Shi, Zhimin Tang: Write Detection in Home-Based Software DSMs. Euro-Par 1999: 909-913
8EEM. Rasit Eskicioglu, T. Anthony Marsland, Weiwu Hu, Weisong Shi: Evaluation of the JIAJIA Software DSM System on High Performance Computer Architectures. HICSS 1999
7 Weiwu Hu, Weisong Shi, Zhimin Tang: JIAJIA: A Software DSM System Based on a New Cache Coherence Protocol. HPCN Europe 1999: 463-472
6EEWeiwu Hu, Weisong Shi, Zhimin Tang: Adaptive Write Detection in Home-based Software DSMs. HPDC 1999
5EEWeisong Shi, Weiwu Hu, Zhimin Tang, M. Rasit Eskicioglu: Dynamic Task Migration in Home-based Software DSM Systems. HPDC 1999
4EEWeiwu Hu, Weisong Shi, Zhimin Tang: Reducing System Overheads in Home-based Software DSMs. IPPS/SPDP 1999: 167-
1997
3 Weisong Shi, Weiwu Hu, Zhimin Tang: An Interaction of Coherence Protocols and Memory Consistency Models in DSM Systems. Operating Systems Review 31.(4): 41-54 (1997)
1996
2EEWeiwu Hu, Peisu Xia: Event Ordering Condition for Correct Executions in Shared-Memory Systems. ISPAN 1996: 84-89
1994
1 Weiwu Hu: A Graph Model for Investigating Memory Consistency. ICPADS 1994: 516-523

Coauthor Index

1Tianshi Chen [29] [30]
2Yunji Chen [29] [30]
3Hao Cui [28]
4M. Rasit Eskicioglu [5] [8]
5Qifei Fan [26]
6Wei Feng [28]
7Mingchang Hu [15]
8Dandan Huan [22]
9Kun Huang [25]
10Lingyi Huang [28]
11Zusong Li [16] [20] [22]
12Haiming Liu [10] [11] [13]
13Zhiyong Liu [22]
14Yi Lv [30]
15T. Anthony Marsland [8]
16Hong Pan [30]
17Zichu Qi [17] [19]
18Hou Rui [18] [21] [23]
19Haihua Shen [30]
20Gang Shi [14] [15]
21Weisong Shi [3] [4] [5] [6] [7] [8] [9] [12]
22Zhimin Tang [3] [4] [5] [6] [7] [9] [12] [15] [20]
23Jun Wang [24] [27]
24Pengyu Wang [30]
25Ming Wu [25]
26Peisu Xia [2]
27Xianchao Xu [20]
28Zongren Yang [28]
29Hongda Yin [15]
30Hongbo Zeng [25] [27]
31Feng Zhang [28]
32Fuxin Zhang [10] [11] [14] [16] [18]
33Ge Zhang [17] [19] [24] [26] [27]
34Longbing Zhang [21] [23]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)