dblp.uni-trier.dewww.uni-trier.de

David Brooks

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo
Home Page

2009
48EEVijay Janapa Reddi, Meeta Sharma Gupta, Glenn H. Holloway, Gu-Yeon Wei, Michael D. Smith, David Brooks: Voltage emergency prediction: Using signatures to reduce operating margins. HPCA 2009: 18-29
47EEKevin Brownell, Ali Durlov Khan, David Brooks, Gu-Yeon Wei: Place and route considerations for voltage interpolated designs. ISQED 2009: 594-600
46EELukasz Strozek, David Brooks: Energy- and area-efficient architectures through application clustering and architectural heterogeneity. TACO 6(1): (2009)
2008
45EEBenjamin C. Lee, David Brooks: Efficiency trends and limits from comprehensive microarchitectural adaptivity. ASPLOS 2008: 36-47
44EEWonyoung Kim, Meeta Sharma Gupta, Gu-Yeon Wei, David Brooks: System level analysis of fast, per-core DVFS using on-chip switching regulators. HPCA 2008: 123-134
43EEKevin Brownell, Gu-Yeon Wei, David Brooks: Evaluation of voltage interpolation to address process variations. ICCAD 2008: 529-536
42EEXiaoyao Liang, Gu-Yeon Wei, David Brooks: ReVIVaL: A Variation-Tolerant Architecture Using Voltage Interpolation and Variable Latency. ISCA 2008: 191-202
41EEMark Hempstead, Gu-Yeon Wei, David Brooks: System design considerations for sensor network applications. ISCAS 2008: 2566-2569
40EEGu-Yeon Wei, David Brooks, Ali Durlov Khan, Xiaoyao Liang: Instruction-driven clock scheduling with glitch mitigation. ISLPED 2008: 357-362
39EEBenjamin C. Lee, Jamison Collins, Hong Wang, David Brooks: CPR: Composable performance regression for scalable multiprocessor models. MICRO 2008: 270-281
38EEXiaoyao Liang, Ramon Canal, Gu-Yeon Wei, David Brooks: Replacing 6T SRAMs with 3T1D DRAMs in the L1 Data Cache to Combat Process Variability. IEEE Micro 28(1): 60-68 (2008)
37EESarita V. Adve, David Brooks, Craig B. Zilles: Guest Editors' Introduction: Top Picks from the Computer Architecture Conferences of 2007. IEEE Micro 28(1): 8-11 (2008)
2007
36EEXiaoyao Liang, Kerem Turgay, David Brooks: Architectural power models for SRAM and CAM structures based on hybrid analytical/empirical techniques. ICCAD 2007: 824-830
35EEMeeta Sharma Gupta, Krishna K. Rangan, Michael D. Smith, Gu-Yeon Wei, David Brooks: Towards a software approach to mitigate voltage emergencies. ISLPED 2007: 123-128
34EEXiaoyao Liang, Ramon Canal, Gu-Yeon Wei, David Brooks: Process Variation Tolerant 3T1D-Based Cache Architectures. MICRO 2007: 15-26
33EEDavid Brooks, Robert P. Dick, Russ Joseph, Li Shang: Power, Thermal, and Reliability Modeling in Nanometer-Scale Microprocessors. IEEE Micro 27(3): 49-62 (2007)
2006
32EELukasz Strozek, David Brooks: Efficient architectures through application clustering and architectural heterogeneity. CASES 2006: 190-200
31EEMark Hempstead, Gu-Yeon Wei, David Brooks: Architecture and circuit techniques for low-throughput, energy-constrained systems across technology generations. CASES 2006: 368-378
30EEYingmin Li, Benjamin Lee, David Brooks, Zhigang Hu, Kevin Skadron: CMP design space exploration subject to physical constraints. HPCA 2006: 17-28
29EEXiaoyao Liang, David Brooks: Microarchitecture parameter selection to optimize system performance under process variation. ICCAD 2006: 429-436
28EEWai-Chi Fang, Sharon Kedar, Susan Owen, Gu-Yeon Wei, David Brooks, Jonathan Lees: System-on-Chip Architecture Design for Intelligent Sensor Networks. IIH-MSP 2006: 579-582
27EEXiaoyao Liang, David Brooks: Mitigating the Impact of Process Variations on Processor Register Files and Execution Units. MICRO 2006: 504-514
26EEQiang Wu, Margaret Martonosi, Douglas W. Clark, Vijay Janapa Reddi, Dan Connors, Youfeng Wu, Jin Lee, David Brooks: Dynamic-Compiler-Driven Control for Microprocessor Energy and Performance. IEEE Micro 26(1): 119-129 (2006)
2005
25EEYingmin Li, David Brooks, Zhigang Hu, Kevin Skadron: Performance, Energy, and Thermal Considerations for SMT and CMP Architectures. HPCA 2005: 71-82
24EEMark Hempstead, Nikhil Tripathi, Patrick Mauro, Gu-Yeon Wei, David Brooks: An Ultra Low Power System Architecture for Sensor Network Applications. ISCA 2005: 208-219
23EEYingmin Li, Mark Hempstead, Patrick Mauro, David Brooks, Zhigang Hu, Kevin Skadron: Power and thermal effects of SRAM vs. Latch-Mux design styles and clock gating choices. ISLPED 2005: 173-178
22EEQiang Wu, Margaret Martonosi, Douglas W. Clark, Vijay Janapa Reddi, Dan Connors, Youfeng Wu, Jin Lee, David Brooks: A Dynamic Compilation Framework for Controlling Microprocessor Energy and Performance. MICRO 2005: 271-282
2004
21EEYau Chin, John Sheu, David Brooks: Evaluating Techniques for Exploiting Instruction Slack. ICCD 2004: 375-378
20EEDavid Brooks, Mark Lee: Learning Syntax from Function Words. ICGI 2004: 273-274
19EEKim M. Hazelwood, David Brooks: Eliminating voltage emergencies via microarchitectural voltage control feedback and dynamic optimization. ISLPED 2004: 326-331
18EEYingmin Li, David Brooks, Zhigang Hu, Kevin Skadron, Pradip Bose: Understanding the energy efficiency of simultaneous multithreading. ISLPED 2004: 44-49
17EEMark Hempstead, Matt Welsh, David Brooks: TinyBench: The Case For A Standardized Benchmark Suite for TinyOS Based Wireless Sensor Network Devices. LCN 2004: 585-586
16EEVictor V. Zyuban, David Brooks, Viji Srinivasan, Michael Gschwind, Pradip Bose, Philip N. Strenski, Philip G. Emma: Integrated Analysis of Power and Performance for Pipelined Microprocessors. IEEE Trans. Computers 53(8): 1004-1016 (2004)
15EEDavid Brooks, Pradip Bose, Margaret Martonosi: Power-performance simulation: design and validation strategies. SIGMETRICS Performance Evaluation Review 31(4): 13-18 (2004)
2003
14EERuss Joseph, David Brooks, Margaret Martonosi: Control Techniques to Eliminate Voltage Emergencies in High Performance Processors. HPCA 2003: 79-90
13EEJames Ellsmere, Jeffrey A. Stoll, David W. Rattner, David Brooks, Robert Kane, William M. Wells III, Ron Kikinis, Kirby Vosburgh: A Navigation System for Augmenting Laparoscopic Ultrasound. MICCAI (2) 2003: 184-191
12EEDavid Brooks, Pradip Bose, Viji Srinivasan, Michael Gschwind, Philip G. Emma, Michael G. Rosenfield: New methodology for early-stage, microarchitecture-level power-performance analysis of microprocessors. IBM Journal of Research and Development 47(5-6): 653-670 (2003)
2002
11EEViji Srinivasan, David Brooks, Michael Gschwind, Pradip Bose, Victor V. Zyuban, Philip N. Strenski, Philip G. Emma: Optimizing pipelines for power and performance. MICRO 2002: 333-344
10EEPradip Bose, David Brooks, Alper Buyuktosunoglu, Peter W. Cook, K. Das, Philip G. Emma, Michael Gschwind, Hans M. Jacobson, Tejas Karkhanis, Prabhakar Kudva, Stanley Schuster, James E. Smith, Viji Srinivasan, Victor V. Zyuban, David H. Albonesi, Sandhya Dwarkadas: Early-Stage Definition of LPX: A Low Power Issue-Execute Processor. PACS 2002: 1-17
2001
9EEAlper Buyuktosunoglu, David H. Albonesi, Stanley Schuster, David Brooks, Pradip Bose, Peter W. Cook: A circuit level implementation of an adaptive issue queue for power-aware microprocessors. ACM Great Lakes Symposium on VLSI 2001: 73-78
8EEDavid Brooks, Margaret Martonosi: Dynamic Thermal Management for High-Performance Microprocessors. HPCA 2001: 171-
2000
7EEDavid Brooks, Vivek Tiwari, Margaret Martonosi: Wattch: a framework for architectural-level power analysis and optimizations. ISCA 2000: 83-94
6EEDavid Brooks, Margaret Martonosi, John-David Wellman, Pradip Bose: Power-Performance Modeling and Tradeoff Analysis for a High End Microprocessor. PACS 2000: 126-136
5EEAlper Buyuktosunoglu, Stanley Schuster, David Brooks, Pradip Bose, Peter W. Cook, David H. Albonesi: An Adaptive Issue Queue for Reduced Power at High Performance. PACS 2000: 25-39
4EEDavid Brooks, Margaret Martonosi: Value-based clock gating and operation packing: dynamic strategies for improving processor power and performance. ACM Trans. Comput. Syst. 18(2): 89-126 (2000)
3EEDavid Brooks, Pradip Bose, Stanley Schuster, Hans M. Jacobson, Prabhakar Kudva, Alper Buyuktosunoglu, John-David Wellman, Victor V. Zyuban, Manish Gupta, Peter W. Cook: Power-Aware Microarchitecture: Design and Modeling Challenges for Next-Generation Microprocessors. IEEE Micro 20(6): 26-44 (2000)
1999
2 David Brooks, Margaret Martonosi: Implementing Application-Specific Cache-Coherence Protocols in Configurable Hardware. CANPC 1999: 181-195
1EEDavid Brooks, Margaret Martonosi: Dynamically Exploiting Narrow Width Operands to Improve Processor Power and Performance. HPCA 1999: 13-22

Coauthor Index

1Sarita V. Adve [37]
2David H. Albonesi [5] [9] [10]
3Pradip Bose [3] [5] [6] [9] [10] [11] [12] [15] [16] [18]
4Kevin Brownell [43] [47]
5Alper Buyuktosunoglu [3] [5] [9] [10]
6Ramon Canal [34] [38]
7Yau Chin [21]
8Douglas W. Clark [22] [26]
9Jamison Collins [39]
10Daniel A. Connors (Dan Connors) [22] [26]
11Peter W. Cook [3] [5] [9] [10]
12K. Das [10]
13Robert P. Dick [33]
14Sandhya Dwarkadas [10]
15James Ellsmere [13]
16Philip G. Emma [10] [11] [12] [16]
17Wai-Chi Fang [28]
18Michael Gschwind [10] [11] [12] [16]
19Manish Gupta [3]
20Meeta Sharma Gupta [35] [44] [48]
21Kim M. Hazelwood [19]
22Mark Hempstead [17] [23] [24] [31] [41]
23Glenn H. Holloway [48]
24Zhigang Hu [18] [23] [25] [30]
25Hans M. Jacobson [3] [10]
26Russ Joseph [14] [33]
27Robert Kane [13]
28Tejas Karkhanis [10]
29Sharon Kedar [28]
30Ali Durlov Khan [40] [47]
31Ron Kikinis [13]
32Wonyoung Kim [44]
33Prabhakar Kudva [3] [10]
34Benjamin Lee [30]
35Benjamin C. Lee [39] [45]
36Jin Lee [22] [26]
37Mark Lee [20]
38Jonathan Lees [28]
39Yingmin Li [18] [23] [25] [30]
40Xiaoyao Liang [27] [29] [34] [36] [38] [40] [42]
41Margaret Martonosi [1] [2] [4] [6] [7] [8] [14] [15] [22] [26]
42Patrick Mauro [23] [24]
43Susan Owen [28]
44Krishna K. Rangan [35]
45David W. Rattner [13]
46Vijay Janapa Reddi [22] [26] [48]
47Michael G. Rosenfield [12]
48Stanley Schuster [3] [5] [9] [10]
49Li Shang [33]
50John Sheu [21]
51Kevin Skadron [18] [23] [25] [30]
52James E. Smith [10]
53Michael D. Smith [35] [48]
54Viji Srinivasan [10] [11] [12] [16]
55Jeffrey A. Stoll [13]
56Philip N. Strenski [11] [16]
57Lukasz Strozek [32] [46]
58Vivek Tiwari [7]
59Nikhil Tripathi [24]
60Kerem Turgay [36]
61Kirby Vosburgh [13]
62Hong Wang [39]
63Gu-Yeon Wei [24] [28] [31] [34] [35] [38] [40] [41] [42] [43] [44] [47] [48]
64John-David Wellman [3] [6]
65William M. Wells III [13]
66Matt Welsh [17]
67Qiang Wu [22] [26]
68Youfeng Wu [22] [26]
69Craig B. Zilles [37]
70Victor V. Zyuban [3] [10] [11] [16]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)