dblp.uni-trier.dewww.uni-trier.de

Gaetano Palumbo

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
57EEMassimo Alioto, Gaetano Palumbo: Power-delay optimization in MCML tapered buffers. ISCAS 2008: 141-144
56EEGianluca Giustolisi, Gaetano Palumbo, Ester Spitale: Low-voltage LDO Compensation Strategy based on Current Amplifiers. ISCAS 2008: 2681-2684
55EEMassimo Alioto, Massimo Poli, Gaetano Palumbo: Explicit energy evaluation in RLC tree circuits with ramp inputs. ISCAS 2008: 2865-2868
54EEMassimo Alioto, Gaetano Palumbo, Melita Pennisi: Understanding the Effect of Intradie Random Process Variations in Nanometer Domino Logic. PATMOS 2008: 136-145
53EEGaetano Palumbo, Melita Pennisi: AMOLED pixel driver circuits based on poly-Si TFTs: A comparison. Integration 41(3): 439-446 (2008)
2007
52EEChristian Falconi, Arnaldo D'Amico, Gianluca Giustolisi, Gaetano Palumbo: Rosenstark-like Representation of Feedback Amplifier Resistance. ISCAS 2007: 2212-2215
51EEWalter Aloisi, Giuseppe Di Cataldo, Gaetano Palumbo, Salvatore Pennisi: Miller Compensation: Optimization with Current Buffer/Amplifier. ISCAS 2007: 2216-2219
50EEMassimo Alioto, Gaetano Palumbo: High-Speed/Low-Power Mixed Full Adder Chains: Analysis and Comparison versus Technology. ISCAS 2007: 2998-3001
49EEMassimo Alioto, Gaetano Palumbo: Design of Fast Large Fan-In CMOS Multiplexers Accounting for Interconnects. ISCAS 2007: 3255-3258
48EEMassimo Alioto, Gaetano Palumbo: Delay Variability Due to Supply Variations in Transmission-Gate Full Adders. ISCAS 2007: 3732-3735
47EEMassimo Alioto, Giuseppe Di Cataldo, Gaetano Palumbo: Mixed Full Adder topologies for high-performance low-power arithmetic circuits. Microelectronics Journal 38(1): 130-139 (2007)
2006
46EEA. D. Grasso, Gaetano Palumbo, Salvatore Pennisi: Active reversed nested Miller compensation for three-stage amplifiers. ISCAS 2006
45EEGaetano Palumbo, Melita Pennisi, Salvatore Pennisi: Analysis and evaluation of harmonic distortion in the tunnel diode oscillator. ISCAS 2006
44EEMassimo Alioto, Gaetano Palumbo: Delay uncertainty due to supply variations in static and dynamic full adders. ISCAS 2006
43EEMassimo Alioto, Gaetano Palumbo, Massimo Poli: Efficient output transition time modeling in CMOS gates with ramp/exponential inputs. ISCAS 2006
42EEMassimo Alioto, Gaetano Palumbo: Nanometer MCML gates: models and design considerations. ISCAS 2006
41EEMassimo Alioto, Gaetano Palumbo: Impact of Supply Voltage Variations on Full Adder Delay: Analysis and Comparison. IEEE Trans. VLSI Syst. 14(12): 1322-1335 (2006)
40EEMassimo Alioto, Gaetano Palumbo, Massimo Poli: Energy Consumption in RC Tree Circuits. IEEE Trans. VLSI Syst. 14(5): 452-461 (2006)
39EERosario Mita, Gaetano Palumbo, Pier Giorgio Fallica: A fast driver circuit for single-photon sensors. Microelectronics Journal 37(10): 1092-1096 (2006)
2005
38EERosario Mita, Gaetano Palumbo, Salvatore Pennisi: Well-defined design procedure for a three-stage CMOS OTA. ISCAS (3) 2005: 2579-2582
37EEMassimo Alioto, Gaetano Palumbo: Design techniques for low-power cascaded CML gates. ISCAS (5) 2005: 4685-4688
36EEMassimo Alioto, Gaetano Palumbo, Massimo Poli: Energy Consumption in RC Tree Circuits with Exponential Inputs: An Analytical Model. PATMOS 2005: 355-363
2004
35EEWalter Aloisi, Stello Matteo Billé, Gaetano Palumbo: Low-voltage linear voltage regulator suitable for memories. ISCAS (1) 2004: 389-392
34EEGaetano Palumbo, Salvatore Pennisi: Harmonic distortion in three-stage nested-Miller-compensated amplifiers. ISCAS (1) 2004: 485-488
33 Massimo Alioto, Gaetano Palumbo, Massimo Poli: A gate-level strategy to design Carry Select Adders. ISCAS (2) 2004: 465-468
32 Gianluca Giustolisi, Gaetano Palumbo: Sigma-Delta A/D fuzzy converter. ISCAS (4) 2004: 677-680
31EEMassimo Alioto, Gaetano Palumbo, Massimo Poli: Evaluation of energy consumption in RC ladder circuits driven by a ramp input. IEEE Trans. VLSI Syst. 12(10): 1094-1107 (2004)
2003
30EEGianluca Giustolisi, Gaetano Palumbo: A novel 1-V class-AB transconductor for improving speed performance in SC applications. ISCAS (1) 2003: 153-156
29EEWalter Aloisi, Gianluca Giustolisi, Gaetano Palumbo: A 1-V CMOS output stage with high linearity. ISCAS (1) 2003: 225-228
28EEGianluca Giustolisi, Gaetano Palumbo: A new method for evaluating harmonic distortion in push-pull output stages. ISCAS (1) 2003: 233-236
27EERosario Mita, Gaetano Palumbo, Salvatore Pennisi: Performance comparison of Tow-Thomas biquad filters based on VOAs and CFOAs. ISCAS (1) 2003: 525-528
26EEWalter Aloisi, Gianluca Giustolisi, Gaetano Palumbo: Design of low-voltage low-power SC filters for high-frequency applications. ISCAS (1) 2003: 605-608
25EEMassimo Alioto, Gaetano Palumbo: Design of MUX, XOR and D-latch SCL gates. ISCAS (5) 2003: 261-264
24EEMassimo Alioto, Rosario Mita, Gaetano Palumbo: Performance evaluation of the low-voltage CML D-latch topology. Integration 36(4): 191-209 (2003)
2002
23EEGiuseppe Notarangelo, Marco Gibilaro, Francesco Pappalardo, Agatino Pennisi, Gaetano Palumbo: Low Power Strategy for a TFT Controller. DSD 2002: 351-354
22EEWalter Aloisi, Gianluca Giustolisi, Gaetano Palumbo: Analysis and optimization of gain-boosted telescopic amplifiers. ISCAS (1) 2002: 321-324
21EEGianluca Giustolisi, Gaetano Palumbo: Analysis of power supply noise attenuation in a PTAT current source. ISCAS (1) 2002: 561-564
20EEMassimo Alioto, Gaetano Palumbo: Power-delay trade-offs in SCL gates. ISCAS (3) 2002: 249-252
19EEGaetano Palumbo, F. Pappalardo, S. Sannella: Evaluation on power reduction applying gated clock approaches. ISCAS (4) 2002: 85-88
18EEMassimo Alioto, Gaetano Palumbo, Massimo Poli: An Approach to Energy Consumption Modeling in RC Ladder Circuits. PATMOS 2002: 239-246
17EEMassimo Alioto, Gaetano Palumbo: Modeling Propagation Delay of MUX, XOR, and D-Latch Source-Coupled Logic Gates. PATMOS 2002: 429-437
16EERosario Mita, Gaetano Palumbo: Modeling of Propagation Delay of a First Order Circuit with a Ramp Input. PATMOS 2002: 468-476
15EEMassimo Alioto, Gaetano Palumbo: Analysis and comparison on full adder block in submicron technology. IEEE Trans. VLSI Syst. 10(6): 806-823 (2002)
2001
14EEGaetano Palumbo, Giuseppe Introvaia, Vincenzo Mastrocola, Promod Kumar, Francesco Pipiton: Built-In Self Test for Low Cost Testing of a 60 MHz Synchronous Flash Memory. IOLTW 2001: 192-196
13EERosario Mita, Gaetano Palumbo, Salvatore Pennisi: Reversed nested Miller compensation with current follower. ISCAS (1) 2001: 308-311
12EEGianluca Giustolisi, Gaetano Palumbo: Detailed frequency analysis of power supply rejection in Brokaw bandgap. ISCAS (1) 2001: 731-734
11EEMassimo Alioto, Giuseppe Di Cataldo, Gaetano Palumbo: CML ring oscillators: oscillation frequency. ISCAS (4) 2001: 112-115
10EEGaetano Palumbo, D. Pappalardo, M. Gaibotti: Modeling and minimization of power consumption in charge pump circuits. ISCAS (4) 2001: 402-405
9EEMassimo Alioto, Gaetano Palumbo: Power estimation in adiabatic circuits: a simple and accurate model. IEEE Trans. VLSI Syst. 9(5): 608-615 (2001)
2000
8EEMassimo Alioto, Gaetano Palumbo: Modeling of Power Consumption of Adiabatic Gates versus Fan in and Comparison with Conventional Gates. PATMOS 2000: 265-275
1999
7EEMassimo Alioto, Gaetano Palumbo: Highly accurate and simple models for CML and ECL gates. IEEE Trans. on CAD of Integrated Circuits and Systems 18(9): 1369-1375 (1999)
1998
6EEGianluca Giustolisi, Giovanni Palmisano, Gaetano Palumbo, C. Strano: A Novel 1.5-V Cmos Mixer. Great Lakes Symposium on VLSI 1998: 113-117
5EEMassimo Alioto, Gaetano Palumbo: Novel Simple Models Of Cml Propagation Delay. Great Lakes Symposium on VLSI 1998: 270-274
1995
4 Giuseppe Di Cataldo, Giovanni Palmisano, Gaetano Palumbo: A CMOS CCII+. ISCAS 1995: 315-318
1994
3 Gaetano Palumbo: Design of the Wilson and Improved Wilson MOS Current Mirrors to Reach the Best Settling time. ISCAS 1994: 413-416
2 Giuseppe Di Cataldo, Gaetano Palumbo: Optimized Design of 4 Stage Dickson Voltage Multiplier. ISCAS 1994: 693-696
1 Giovanni Palmisano, Gaetano Palumbo, Salvatore Pennisi: A High-Accuracy High-Speed CMOS Current Comparator. ISCAS 1994: 739-742

Coauthor Index

1Massimo Alioto [5] [7] [8] [9] [11] [15] [17] [18] [20] [24] [25] [31] [33] [36] [37] [40] [41] [42] [43] [44] [47] [48] [49] [50] [54] [55] [57]
2Walter Aloisi [22] [26] [29] [35] [51]
3Stello Matteo Billé [35]
4Giuseppe Di Cataldo [2] [4] [11] [47] [51]
5Arnaldo D'Amico [52]
6Christian Falconi [52]
7Pier Giorgio Fallica [39]
8M. Gaibotti [10]
9Marco Gibilaro [23]
10Gianluca Giustolisi [6] [12] [21] [22] [26] [28] [29] [30] [32] [52] [56]
11A. D. Grasso [46]
12Giuseppe Introvaia [14]
13Promod Kumar [14]
14Vincenzo Mastrocola [14]
15Rosario Mita [13] [16] [24] [27] [38] [39]
16Giuseppe Notarangelo [23]
17Giovanni Palmisano [1] [4] [6]
18D. Pappalardo [10]
19F. Pappalardo [19]
20Francesco Pappalardo [23]
21Agatino Pennisi [23]
22Melita Pennisi [45] [53] [54]
23Salvatore Pennisi [1] [13] [27] [34] [38] [45] [46] [51]
24Francesco Pipiton [14]
25Massimo Poli [18] [31] [33] [36] [40] [43] [55]
26S. Sannella [19]
27Ester Spitale [56]
28C. Strano [6]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)