dblp.uni-trier.dewww.uni-trier.de

Chua-Chin Wang

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
49EEChua-Chin Wang, Chi-Chun Huang, Jun-Han Wu, I-Yu Huang: A mini-invasive multi-function bladder urine pressure measurement system. ISCAS 2008: 3174-3177
48EEGang-Neng Sung, Yan-Jhin Ciou, Chua-Chin Wang: A power-aware 2-dimensional bypassing multiplier using cell-based design flow. ISCAS 2008: 3338-3341
47EETung-Han Tsai, Chin-Lin Chen, Ching-Li Lee, Chua-Chin Wang: Power-saving nano-scale DRAMs with an adaptive refreshing clock generator. ISCAS 2008: 612-615
46EEChua-Chin Wang, Chi-Chun Huang, Ching-Li Lee, Tsai-Wen Cheng: A Low Power High-Speed 8-Bit Pipelining CLA Design Using Dual-Threshold Voltage Domino Logic. IEEE Trans. VLSI Syst. 16(5): 594-598 (2008)
45EEChua-Chin Wang, Chi-Chun Huang, Jian-Ming Huang, Chih-Yi Chang, Chih-Peng Li: ZigBee 868/915-MHz Modulator/Demodulator for Wireless Personal Area Network. IEEE Trans. VLSI Syst. 16(7): 936-939 (2008)
44EEChua-Chin Wang, Gang-Neng Sung, Chi-Chun Huang, Ching-Li Lee, Tian-Hau Chen, Wun-Ji Lin, Ron Hu: A 1.7-ns Access Time SRAM Using Variable Bulk Bias wordline-Controlled transistors. Journal of Circuits, Systems, and Computers 17(5): 943-956 (2008)
43EEChua-Chin Wang, Gang-Neng Sung, Pai-Li Liu: Power-Aware Design of An 8-Bit Pipelining ANT-Based CLA Using Data Transition Detection. Signal Processing Systems 52(2): 127-135 (2008)
2007
42EEChua-Chin Wang, Chi-Chun Huang, Jian-Sing Liou, Yan-Jhin Ciou, I-Yu Huang, Chih-Peng Li, Yun-Chin Lee, Wen-Jen Wu: An Implantable Long-term Bladder Urine Pressure Measurement System with a 1-atm Canceling Instrumentation Amplifier. ISCAS 2007: 2383-2386
41EEChua-Chin Wang, Gang-Neng Sung, Kuan-Wen Fang, Sheng-Lun Tseng: A Low-power Sensorless Inverter Controller of Brushless DC Motors. ISCAS 2007: 2435-2438
40EEChua-Chin Wang, Tzung-Je Lee, Chih-Chen Li, Ron Hu: Voltage-to-frequency converter with high sensitivity using all-MOS voltage window comparator. Microelectronics Journal 38(2): 197-202 (2007)
39EEChua-Chin Wang, Gang-Neng Sung, Jian-Ming Huang, Li-Pin Lin: An 80MHz PLL with 72.7ps peak-to-peak jitter. Microelectronics Journal 38(6-7): 716-721 (2007)
2006
38EEChua-Chin Wang, Gang-Neng Sung, Ming-Kai Chang, Ching-Li Lee, Cheng-Mu Wu, Ju-Ya Chen: A Low-power 4-T SAM Design for OFDM Demodulators in DVB Receiversers. APCCAS 2006: 1112-1115
37EEChua-Chin Wang, Gang-Neng Sung, Ming-Kai Chang, Ying-Yu Shen: Engery-Efficient Double-Edge Triggered Flip-Flop Design. APCCAS 2006: 1791-1794
36EEChua-Chin Wang, Tzung-Je Lee, Chih-Chen Li, Ron Hu: An All-MOS High Linearity Voltage-to-Frequency Converter Chip with 520 KHz/V Sensitivity. APCCAS 2006: 267-270
35EEChua-Chin Wang, Chi-Chun Huang, Tzung-Je Lee, Cheng-Mu Wu, Gang-Neng Sung, Kuan-Wen Fang, Sheng-Lun Tseng, Jia-Jin Chen: An Implantable SOC Chip for Micro-stimulating and Neural Signal Recording. APCCAS 2006: 682-685
34EEChua-Chin Wang, Chi-Chun Huang, Jian-Sing Liou, Kuan-Wen Fang: A 140-dB CMRR Low-noise Instrumentation Amplifier for Neural Signal Sensing. APCCAS 2006: 696-699
33EEChua-Chin Wang, Chi-Chun Huang, Tzung-Je Lee, U. Fat Chio: A Linear LDO Regulator with Modified NMCF Frequency Compensation Independent of Off-chip Capacitor and ESR. APCCAS 2006: 880-883
32EEChua-Chin Wang, Gang-Neng Sung, Jia-Hao Li: Codec Design for Variable-Length to Fixed-Length Data Conversion for H.263. IIH-MSP 2006: 483-486
31EEChua-Chin Wang, Ching-Li Lee, Wun-Ji Lin: A 4-Kb low power 4-T SRAM design with negative word-line gate drive. ISCAS 2006
30EEChua-Chin Wang, Jian-Ming Huang, Chih-Yi Chang, Kuang-Ting Cheng, Chih-Peng Li: A 6.57 mW ZigBee transceiver for 868/915 MHz band. ISCAS 2006
29EEChua-Chin Wang, Gang-Neng Sung: A Low-Power 2-Dimensional Bypassing Multiplier Using 0.35 um CMOS Technology. ISVLSI 2006: 405-410
2005
28EEChua-Chin Wang, Ching-Li Lee, Li-Ping Lin, Yih-Long Tseng: Wideband 70dB CMOS digital variable gain amplifier design for DVB-T receiver's AGC. ISCAS (1) 2005: 356-359
27EEChua-Chin Wang, Tzung-Je Lee, Yu-Tzu Hsiao, U. Fat Chio, Chi-Chun Huang, J.-J. J. Chin, Ya-Hsin Hsueh: A multiparameter implantable microstimulator SOC. IEEE Trans. VLSI Syst. 13(12): 1399-1402 (2005)
26EEChua-Chin Wang, Yih-Long Tseng, Chih-Chiang Chiu: A temperature-insensitive self-recharging circuitry used in DRAMs. IEEE Trans. VLSI Syst. 13(3): 405-408 (2005)
2004
25 Chua-Chin Wang, Yih-Long Tseng, Tzung-Je Lee, Ron Hu: High-PSR bias circuitry for NTSC sync separation. ISCAS (1) 2004: 329-332
24 Chua-Chin Wang, Ya-Hsin Hsueh, Sen-Fu Hong, Rong-Sui Kao: A phase-adjustable negative phase shifter using a single-shot locking method. ISCAS (2) 2004: 933-936
23 Chua-Chin Wang, Ya-Hsin Hsueh, U. Fat Chio, Yu-Tzu Hsiao: A C-less ASK demodulator for implantable neural interfacing chips. ISCAS (4) 2004: 57-60
22EEChua-Chin Wang, Yih-Long Tseng, Hsien-Chih She, Ron Hu: A 1.2 GHz programmable DLL-based frequency multiplier for wireless applications. IEEE Trans. VLSI Syst. 12(12): 1377-1381 (2004)
21EEChua-Chin Wang, Yih-Long Tseng, Hsien-Chih She, Chih-Chen Li, Ron Hu: A 13-bit resolution ROM-less direct digital frequency synthesizer based on a trigonometric quadruple angle formula. IEEE Trans. VLSI Syst. 12(9): 895-900 (2004)
20EEChua-Chin Wang, Yih-Long Tseng, Hon-Yuan Leo, Ron Hu: A 4-kB 500-MHz 4-T CMOS SRAM using low-VTHN bitline drivers and high-VTHP latches. IEEE Trans. VLSI Syst. 12(9): 901-909 (2004)
2003
19EEChua-Chin Wang, Ya-Hsin Hsueh, Ying-Pei Chen: An area-saving decoder structure for ROMs. IEEE Trans. VLSI Syst. 11(4): 581-589 (2003)
18EEChua-Chin Wang, Po-Ming Lee, Jun-Jie Wang, Chenn-Jung Huang: Design of a cycle-efficient 64-b/32-b integer divisor using a table-sharing algorithm. IEEE Trans. VLSI Syst. 11(4): 737-740 (2003)
2002
17EEChenn-Jung Huang, Chua-Chin Wang, Chi-Feng Wu: Image Processing Techniques for Wafer Defect Cluster Identification. IEEE Design & Test of Computers 19(2): 44-48 (2002)
2001
16EEChua-Chin Wang, Po-Ming Lee, Rong-Chin Lee, Chenn-Jung Huang: A 1.25 GHz 32-bit tree-structured carry lookahead adder. ISCAS (4) 2001: 80-83
15 Chenn-Jung Huang, Wei Kuang Lai, Chua-Chin Wang, Yu-Jyr Jin, Hsin Wei Chen: A ratioed channel assignment scheme for initial and handoff calls in mobile cellular systems. Computer Communications 24(3-4): 308-318 (2001)
14EEChua-Chin Wang, Cheng-Fa Tsai, Yu-Tsun Chien: Pattern Recognitin by High-Capacity Polynomial Bidirectional Hetero-Associative Network. J. Inf. Sci. Eng. 17(2): 313-324 (2001)
2000
13EEChua-Chin Wang, Cheng-Fa Tsai: A Novel Neural Architecture with High Storage Capacity. IJCNN (5) 2000: 617-621
12 Chua-Chin Wang, Chenn-Jung Huang, Shiou-Ming Hwang: A deterministic capacity-finding method for multi-valued exponential BAM. IEEE Transactions on Systems, Man, and Cybernetics, Part A 30(6): 817-819 (2000)
11 Chua-Chin Wang, Cheng-Fa Tsai: Fuzzy data processing using polynomial bidirectional hetero-associative network. Inf. Sci. 125(1-4): 167-179 (2000)
1999
10EEChua-Chin Wang, Yu-Tsun Chien, Ying-Pei Chen: A practical load-optimized VCO design for low-jitter 5 V 500 MHz digital phase-locked loop. ISCAS (2) 1999: 528-531
9EEChua-Chin Wang, Cheng-Fa Tsai: Theoretical expectation value of the capacity of fuzzy polynomial bidirectional hetero-correlator. ISCAS (5) 1999: 583-586
1998
8 Chua-Chin Wang, Chang-Rong Tsai: Data compression by the recursive algorithm of exponential bidirectional associative memory. IEEE Transactions on Systems, Man, and Cybernetics, Part B 28(2): 125-134 (1998)
7 Chua-Chin Wang, Hon-Son Don: The Majority Theorem of Centralized Multiple BAMs Networks. Inf. Sci. 110(3-4): 179-193 (1998)
1997
6EEChua-Chin Wang, Chih-Lwan Fan: Digital Design of Discrete Exponential Bidirectional Associative Memory. VLSI Signal Processing 15(3): 247-257 (1997)
1996
5EEChua-Chin Wang: Practical Capacity and Attraction Radix Analysis of Exponential Bidirectional Associative Memory. J. Inf. Sci. Eng. 12(4): 511-523 (1996)
1995
4 Chua-Chin Wang, Jeng-Ming Wu: Analysis and Current-Mode Implementation of Asymptotically Stable Exponential Bidirectional Associative Memory. ISCAS 1995: 421-424
1994
3 Chua-Chin Wang, Hon-Son Don: A Polar Model for Evidential Reasoning. Inf. Sci. 77(3-4): 195-226 (1994)
2 Chua-Chin Wang, Hon-Son Don: A Modified Measure for Fuzzy Subsethood. Inf. Sci. 79(3-4): 223-232 (1994)
1EEChua-Chin Wang, Hon-Son Don: A robust continuous model for evidential reasoning. Journal of Intelligent and Robotic Systems 10(2): 147-171 (1994)

Coauthor Index

1Chih-Yi Chang [30] [45]
2Ming-Kai Chang [37] [38]
3Chin-Lin Chen [47]
4Hsin Wei Chen [15]
5Jia-Jin Chen [35]
6Ju-Ya Chen [38]
7Tian-Hau Chen [44]
8Ying-Pei Chen [10] [19]
9Kuang-Ting Cheng [30]
10Tsai-Wen Cheng [46]
11Yu-Tsun Chien [10] [14]
12J.-J. J. Chin [27]
13U. Fat Chio [23] [27] [33]
14Chih-Chiang Chiu [26]
15Yan-Jhin Ciou [42] [48]
16Hon-Son Don [1] [2] [3] [7]
17Chih-Lwan Fan [6]
18Kuan-Wen Fang [34] [35] [41]
19Sen-Fu Hong [24]
20Yu-Tzu Hsiao [23] [27]
21Ya-Hsin Hsueh [19] [23] [24] [27]
22Ron Hu [20] [21] [22] [25] [36] [40] [44]
23Chenn-Jung Huang [12] [15] [16] [17] [18]
24Chi-Chun Huang [27] [33] [34] [35] [42] [44] [45] [46] [49]
25I-Yu Huang [42] [49]
26Jian-Ming Huang [30] [39] [45]
27Shiou-Ming Hwang [12]
28Yu-Jyr Jin [15]
29Rong-Sui Kao [24]
30Wei Kuang Lai [15]
31Ching-Li Lee [28] [31] [38] [44] [46] [47]
32Po-Ming Lee [16] [18]
33Rong-Chin Lee [16]
34Tzung-Je Lee [25] [27] [33] [35] [36] [40]
35Yun-Chin Lee [42]
36Hon-Yuan Leo [20]
37Chih-Chen Li [21] [36] [40]
38Chih-Peng Li [30] [42] [45]
39Jia-Hao Li [32]
40Li-Pin Lin [39]
41Li-Ping Lin [28]
42Wun-Ji Lin [31] [44]
43Jian-Sing Liou [34] [42]
44Pai-Li Liu [43]
45Hsien-Chih She [21] [22]
46Ying-Yu Shen [37]
47Gang-Neng Sung [29] [32] [35] [37] [38] [39] [41] [43] [44] [48]
48Chang-Rong Tsai [8]
49Cheng-Fa Tsai [9] [11] [13] [14]
50Tung-Han Tsai [47]
51Sheng-Lun Tseng [35] [41]
52Yih-Long Tseng [20] [21] [22] [25] [26] [28]
53Jun-Jie Wang [18]
54Cheng-Mu Wu [35] [38]
55Chi-Feng Wu [17]
56Jeng-Ming Wu [4]
57Jun-Han Wu [49]
58Wen-Jen Wu [42]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)