43. DAC 2006:
San Francisco,
CA,
USA
Ellen Sentovich (Ed.):
Proceedings of the 43rd Design Automation Conference, DAC 2006, San Francisco, CA, USA, July 24-28, 2006.
ACM 2006, ISBN 1-59593-381-6 BibTeX
Session 1:
Panel
Session 2:
special session:
why doesn't my system work?
- Doug Josephson:
The good, the bad, and the ugly of silicon debug.
3-6
Electronic Edition (ACM DL) BibTeX
- Miron Abramovici, Paul Bradley, Kumar N. Dwarakanath, Peter Levin, Gérard Memmi, Dave Miller:
A reconfigurable design-for-debug infrastructure for SoCs.
7-12
Electronic Edition (ACM DL) BibTeX
- Yu-Chin Hsu, Fur-Shing Tsai, Wells Jong, Ying-Tsai Chang:
Visibility enhancement for silicon debug.
13-18
Electronic Edition (ACM DL) BibTeX
Session 3:
hierarchical synthesis for mixed-signal designs
- Jun Zou, Daniel Mueller, Helmut E. Graeb, Ulf Schlichtmann:
A CPPLL hierarchical optimization methodology considering jitter, power and locking time.
19-24
Electronic Edition (ACM DL) BibTeX
- Tom Eeckelaert, Raf Schoofs, Georges G. E. Gielen, Michiel Steyaert, Willy M. C. Sansen:
Hierarchical bottom--up analog optimization methodology validated by a delta-sigma A/D converter design for the 802.11a/b/g standard.
25-30
Electronic Edition (ACM DL) BibTeX
- Saurabh K. Tiwary, Pragati K. Tiwary, Rob A. Rutenbar:
Generation of yield-aware Pareto surfaces for hierarchical circuit design space exploration.
31-36
Electronic Edition (ACM DL) BibTeX
Session 4:
processor and communication centric SOC design
Session 5:
practical applications of DFM
- Kanak Agarwal, Sani R. Nassif:
Statistical analysis of SRAM cell stability.
57-62
Electronic Edition (ACM DL) BibTeX
- Jinjun Xiong, Vladimir Zolotov, Natesan Venkateswaran, Chandu Visweswariah:
Criticality computation in parameterized statistical timing.
63-68
Electronic Edition (ACM DL) BibTeX
- Rouwaida Kanj, Rajiv V. Joshi, Sani R. Nassif:
Mixture importance sampling and its application to the analysis of SRAM designs in the presence of rare failure events.
69-72
Electronic Edition (ACM DL) BibTeX
- Jie Yang, Ethan Cohen, Cyrus Tabery, Norma Rodriguez, Mark Craig:
An up-stream design auto-fix flow for manufacturability enhancement.
73-76
Electronic Edition (ACM DL) BibTeX
DAC technologist panel
Session 7:
special session:
bridging the system to RTL verification gap
Session 8:
leakage,
power analysis and optimization
- Ehsan Pakbaznia, Farzan Fallah, Massoud Pedram:
Charge recycling in MTCMOS circuits: concept and analysis.
97-102
Electronic Edition (ACM DL) BibTeX
- Xin Li, Jiayong Le, Lawrence T. Pileggi:
Projection-based statistical analysis of full-chip leakage power with non-log-normal distributions.
103-108
Electronic Edition (ACM DL) BibTeX
- Hyung-Ock Kim, Youngsoo Shin, Hyuk Kim, Iksoo Eo:
Physical design methodology of power gating circuits for standard-cell-based design.
109-112
Electronic Edition (ACM DL) BibTeX
- Kaijian Shi, David Howard:
Challenges in sleep transistor design and implementation in low-power designs.
113-116
Electronic Edition (ACM DL) BibTeX
- Lei Cheng, Liang Deng, Deming Chen, Martin D. F. Wong:
A fast simultaneous input vector generation and gate replacement algorithm for leakage power reduction.
117-120
Electronic Edition (ACM DL) BibTeX
- De-Shiuan Chiou, Shih-Hsin Chen, Shih-Chieh Chang, Chingwei Yeh:
Timing driven power gating.
121-124
Electronic Edition (ACM DL) BibTeX
Session 9:
MPSOC design methodologies and applications
- Iyad Al Khatib, Francesco Poletti, Davide Bertozzi, Luca Benini, Mohamed Bechara, Hasan Khalifeh, Axel Jantsch, Rustam Nabiev:
A multiprocessor system-on-chip for real-time biomedical monitoring and analysis: architectural design space exploration.
125-130
Electronic Edition (ACM DL) BibTeX
- Alex K. Jones, Raymond R. Hoare, Swapna R. Dontharaju, Shen Chih Tung, Ralph Sprang, Joshua Fazekas, James T. Cain, Marlin H. Mickle:
An automated, reconfigurable, low-power RFID tag.
131-136
Electronic Edition (ACM DL) BibTeX
- Hyung Gyu Lee, Ümit Y. Ogras, Radu Marculescu, Naehyuck Chang:
Design space exploration and prototyping for on-chip multimedia applications.
137-142
Electronic Edition (ACM DL) BibTeX
- Kuei-Chung Chang, Jih-Sheng Shen, Tien-Fu Chen:
Evaluation and design trade-offs between circuit-switched and packet-switched NOCs for application-specific SOCs.
143-148
Electronic Edition (ACM DL) BibTeX
Session 10:
statistical timing analysis
Panel
Session 12:
Special Session:
reliability challenges for 65NM and beyond
Session 13:
power grid analysis and design
- Sanjay Pant, Eli Chiprout:
Power grid physics and implications for CAD.
199-204
Electronic Edition (ACM DL) BibTeX
- Hao Yu, Yiyu Shi, Lei He:
Fast analysis of structured power grid by triangularization based structure preserving model order reduction.
205-210
Electronic Edition (ACM DL) BibTeX
- Praveen Ghanta, Sarma B. K. Vrudhula, Sarvesh Bhardwaj, Rajendran Panda:
Stochastic variational analysis of large power grids considering intra-die correlations.
211-216
Electronic Edition (ACM DL) BibTeX
- Min Zhao, Rajendran Panda, Savithri Sundareswaran, Shu Yan, Yuhong Fu:
A fast on-chip decoupling capacitance budgeting algorithm using macromodeling and linear programming.
217-222
Electronic Edition (ACM DL) BibTeX
Session 14:
advances in formal solvers
Session 15:
gate modeling and model order reduction
- Chirayu S. Amin, Chandramouli V. Kashyap, Noel Menezes, Kip Killpack, Eli Chiprout:
A multi-port current source model for multiple-input switching effects in CMOS library cells.
247-252
Electronic Edition (ACM DL) BibTeX
- Hanif Fatemi, Shahin Nazarian, Massoud Pedram:
Statistical logic cell delay analysis using a current-based model.
253-256
Electronic Edition (ACM DL) BibTeX
- N. Wong, V. Balakrishnan:
Multi-shift quadratic alternating direction implicit iteration for high-speed positive-real balanced truncation.
257-260
Electronic Edition (ACM DL) BibTeX
- N. Wong, C. K. Chu:
A fast passivity test for descriptor systems via structure-preserving transformations of Skew-Hamiltonian/Hamiltonian matrix pencils.
261-266
Electronic Edition (ACM DL) BibTeX
- Peng Li, Weiping Shi:
Model order reduction of linear networks with massive ports via frequency-dependent port packing.
267-272
Electronic Edition (ACM DL) BibTeX
- Nic Mokhoff, Yervant Zorian:
Tradeoffs and choices for emerging SoCs in high-end applications.
273
Electronic Edition (ACM DL) BibTeX
Session 16:
special session:
MPSOC design tools
Session 17:
special session - highlights of ISSCC:
multimedia
- Tsu-Ming Liu, Ching-Che Chung, Chen-Yi Lee, Ting-An Lin, Sheng-Zen Wang:
Design of a 125muW, fully-scalable MPEG-2 and H.264/AVC video decoder for mobile applications.
288-289
Electronic Edition (ACM DL) BibTeX
- Jyh-Shin Pan, Hao-Cheng Chen, Bing-Yu Hsieh, Hong-Ching Chen, Roger Lee, Ching-Ho Chu, Yuan-Chin Liu, Chuan Liu, Lily Huang, Chang-Long Wu, Meng-Hsueh Lin, Chun-Yiu Lin, Shang-Nien Tsai, Jenn-Ning Yang, Chang-Po Ma, Yung Cheng, Shu-Hung Chou, Hsiu-Chen Peng, Peng-Chuan Huang, Benjamin Chiu, Alex Ho:
A CMOS SoC for 56/18/16 CD/DVD-dual/RAM applications.
290-291
Electronic Edition (ACM DL) BibTeX
- Toshihiro Hattori, Takahiro Irita, Masayuki Ito, Eiji Yamamoto, Hisashi Kato, Go Sado, Tetsuhiro Yamada, Kunihiko Nishiyama, Hiroshi Yagi, Takao Koike, Yoshihiko Tsuchihashi, Motoki Higashida, Hiroyuki Asano, Izumi Hayashibara, Ken Tatezawa, Yasuhisa Shimazaki, Naozumi Morino, Yoshihiko Yasu, Tadashi Hoshi, Yujiro Miyairi, Kazumasa Yanagisawa, Kenji Hirose, Saneaki Tamaki, Shinichi Yoshioka, Toshifumi Ishii, Yusuke Kanno, Hiroyuki Mizuno, Tetsuya Yamada, Naohiko Irie, Reiko Tsuchihashi, Nobuto Arai, Tomohiro Akiyama, Koji Ohno:
Hierarchical power distribution and power management scheme for a single chip mobile processor.
292-295
Electronic Edition (ACM DL) BibTeX
Session 18:
buffer insertion
Session 19:
testing and validation for timing defects
Session 20:
advanced topics in processor and system verification
- Alon Gluska:
Practical methods in coverage-oriented verification of the merom microprocessor.
332-337
Electronic Edition (ACM DL) BibTeX
- Kanna Shimizu, Sanjay Gupta, Tatsuya Koyama, Takashi Omizo, Jamee Abdulhafiz, Larry McConville, Todd Swanson:
Verification of the cell broadband engineTM processor.
338-343
Electronic Edition (ACM DL) BibTeX
- Ilya Wagner, Valeria Bertacco, Todd M. Austin:
Shielding against design flaws with field repairable control logic.
344-347
Electronic Edition (ACM DL) BibTeX
- Amir Nahir, Avi Ziv, Roy Emek, Tal Keidar, Nir Ronen:
Scheduling-based test-case generation for verification of multimedia SoCs.
348-351
Electronic Edition (ACM DL) BibTeX
Session 21:
software for real-time applications
Session 22:
panel
Session 23:
invited session
Session 24:
routing
- Minsik Cho, David Z. Pan:
BoxRouter: a new global router based on box expansion and progressive ILP.
373-378
Electronic Edition (ACM DL) BibTeX
- Shiyan Hu, Qiuyang Li, Jiang Hu, Peng Li:
Steiner network construction for timing critical nets.
379-384
Electronic Edition (ACM DL) BibTeX
- Yiyu Shi, Paul Mesa, Hao Yu, Lei He:
Circuit simulation based obstacle-aware Steiner routing.
385-388
Electronic Edition (ACM DL) BibTeX
- Charles J. Alpert, Andrew B. Kahng, Cliff C. N. Sze, Qinke Wang:
Timing-driven Steiner trees are (practically) free.
389-392
Electronic Edition (ACM DL) BibTeX
Session 25:
the test bin
Session 26:
panel
Session 27:
low power and ultra-low voltage design
- Hari Ananthan, Kaushik Roy:
A fully physical model for leakage distribution under process variations in Nanoscale double-gate CMOS.
413-418
Electronic Edition (ACM DL) BibTeX
- Nikhil Jayakumar, Rajesh Garg, Bruce Gamache, Sunil P. Khatri:
A PLA based asynchronous micropipelining approach for subthreshold circuit design.
419-424
Electronic Edition (ACM DL) BibTeX
- John Keane, Hanyong Eom, Tae-Hyoung Kim, Sachin S. Sapatnekar, Chris H. Kim:
Subthreshold logical effort: a systematic framework for optimal subthreshold device sizing.
425-428
Electronic Edition (ACM DL) BibTeX
- Huaizhi Wu, Martin D. F. Wong, I-Min Liu:
Timing-constrained and voltage-island-aware voltage assignment.
429-432
Electronic Edition (ACM DL) BibTeX
Session 28:
high-level exploration and optimization
- Jason Cong, Zhiru Zhang:
An efficient and versatile scheduling algorithm based on SDC formulation.
433-438
Electronic Edition (ACM DL) BibTeX
- Shih-Hsu Huang, Chun-Hua Cheng, Yow-Tyng Nieh, Wei-Chieh Yu:
Register binding for clock period minimization.
439-444
Electronic Edition (ACM DL) BibTeX
- Ajay K. Verma, Paolo Ienne:
Towards the automatic exploration of arithmetic-circuit architectures.
445-450
Electronic Edition (ACM DL) BibTeX
- Gang Wang, Wenrui Gong, Brian DeRenzi, Ryan Kastner:
Design space exploration using time and resource duality with the ant colony optimization.
451-454
Electronic Edition (ACM DL) BibTeX
- Gagan Raj Gupta, Madhur Gupta, Preeti Ranjan Panda:
Rapid estimation of control delay from high-level specifications.
455-458
Electronic Edition (ACM DL) BibTeX
Session 29:
panel design challenges for next-generation multimedia,
game and entertainment platforms
Session 30:
CAD for FPGAS
- Padmini Gopalakrishnan, Xin Li, Lawrence T. Pileggi:
Architecture-aware FPGA placement using metric embedding.
460-465
Electronic Edition (ACM DL) BibTeX
- Sean Safarpour, Andreas G. Veneris, Gregg Baeckler, Richard Yuan:
Efficient SAT-based Boolean matching for FPGA technology mapping.
466-471
Electronic Edition (ACM DL) BibTeX
- Joey Y. Lin, Deming Chen, Jason Cong:
Optimal simultaneous mapping and clustering for FPGA delay optimization.
472-477
Electronic Edition (ACM DL) BibTeX
- Yu Hu, Yan Lin, Lei He, Tim Tuan:
Simultaneous time slack budgeting and retiming for dual-Vdd FPGA power reduction.
478-483
Electronic Edition (ACM DL) BibTeX
Session 31:
secure systems
- Hiroaki Inoue, Akihisa Ikeno, Masaki Kondo, Junji Sakai, Masato Edahiro:
VIRTUS: a new processor virtualization architecture for security-oriented next-generation mobile terminals.
484-489
Electronic Edition (ACM DL) BibTeX
- Chen-Hsing Wang, Chih-Yen Lo, Min-Sheng Lee, Jen-Chieh Yeh, Chih-Tsun Huang, Cheng-Wen Wu, Shi-Yu Huang:
A network security processor design based on an integrated SOC design and test platform.
490-495
Electronic Edition (ACM DL) BibTeX
- Divya Arora, Anand Raghunathan, Srivaths Ravi, Murugan Sankaradass, Niraj K. Jha, Srimat T. Chakradhar:
Software architecture exploration for high-performance security processing on a multiprocessor mobile SoC.
496-501
Electronic Edition (ACM DL) BibTeX
- Roshan G. Ragel, Sri Parameswaran:
IMPRES: integrated monitoring for processor reliability and security.
502-505
Electronic Edition (ACM DL) BibTeX
- Reouven Elbaz, Lionel Torres, Gilles Sassatelli, Pierre Guillemin, Michel Bardouillet, Albert Martinez:
A parallelized way to provide data encryption and integrity checking on a processor-memory bus.
506-509
Electronic Edition (ACM DL) BibTeX
Session 32:
logic synthesis I
- Jin S. Zhang, Alan Mishchenko, Robert K. Brayton, Malgorzata Chrzanowska-Jeske:
Symmetry detection for large Boolean functions using circuit representation, simulation, and satisfiability.
510-515
Electronic Edition (ACM DL) BibTeX
- Kuo-Hua Wang:
Exploiting K-Distance Signature for Boolean Matching and G-Symmetry Detection.
516-521
Electronic Edition (ACM DL) BibTeX
- Ashish Kumar Singh, Murari Mani, Ruchir Puri, Michael Orshansky:
Gain-based technology mapping for minimum runtime leakage under input vector uncertainty.
522-527
Electronic Edition (ACM DL) BibTeX
- Brian Swahn, Soha Hassoun:
Gate sizing: finFETs vs 32nm bulk MOSFETs.
528-531
Electronic Edition (ACM DL) BibTeX
- Alan Mishchenko, Satrajit Chatterjee, Robert K. Brayton:
DAG-aware AIG rewriting a fresh look at combinational logic synthesis.
532-535
Electronic Edition (ACM DL) BibTeX
Session 33:
low-power,
thermal-aware architectures
- Björn Debaillie, Bruno Bougard, Gregory Lenoir, Gerd Vandersteen, Francky Catthoor:
Energy-scalable OFDM transmitter design and control.
536-541
Electronic Edition (ACM DL) BibTeX
- Rajarshi Mukherjee, Seda Ogrenci Memik:
Systematic temperature sensor allocation and placement for microprocessors.
542-547
Electronic Edition (ACM DL) BibTeX
- Amit Kumar, Li Shang, Li-Shiuan Peh, Niraj K. Jha:
HybDTM: a coordinated hardware-software approach for dynamic thermal management.
548-553
Electronic Edition (ACM DL) BibTeX
- Wei Wu, Lingling Jin, Jun Yang, Pu Liu, Sheldon X.-D. Tan:
A systematic method for functional unit power estimation in microprocessors.
554-557
Electronic Edition (ACM DL) BibTeX
- Felix Bürgin, Flavio Carbognani, Martin Hediger, Hektor Meier, Robert Meyer-Piening, Rafael Santschi, Hubert Kaeslin, Norbert Felber, Wolfgang Fichtner:
Low-power architectural trade-offs in a VLSI implementation of an adaptive hearing aid algorithm.
558-561
Electronic Edition (ACM DL) BibTeX
Session 34:
low power system level design
- Jianli Zhuo, Chaitali Chakrabarti, Naehyuck Chang, Sarma B. K. Vrudhula:
Extending the lifetime of fuel cell based hybrid systems.
562-567
Electronic Edition (ACM DL) BibTeX
- Youngjin Cho, Naehyuck Chang, Chaitali Chakrabarti, Sarma B. K. Vrudhula:
High-level power management of embedded systems with application-specific energy cost functions.
568-573
Electronic Edition (ACM DL) BibTeX
- Yuanfang Hu, Yi Zhu, Hongyu Chen, Ronald L. Graham, Chung-Kuan Cheng:
Communication latency aware low power NoC synthesis.
574-579
Electronic Edition (ACM DL) BibTeX
- Deming Chen, Jason Cong, Yiping Fan, Junjuan Xu:
Optimality study of resource binding with multi-Vdds.
580-585
Electronic Edition (ACM DL) BibTeX
Session 35:
power-constrained design for multimedia
- Lin Zhong, Bin Wei, Michael J. Sinclair:
SMERT: energy-efficient design of a multimedia messaging system for mobile devices.
586-591
Electronic Edition (ACM DL) BibTeX
- Bren Mochocki, Kanishka Lahiri, Srihari Cadambi, Xiaobo Sharon Hu:
Signature-based workload estimation for mobile 3D graphics.
592-597
Electronic Edition (ACM DL) BibTeX
- Yan Gu, Samarjit Chakraborty, Wei Tsang Ooi:
Games are up for DVFS.
598-603
Electronic Edition (ACM DL) BibTeX
- Ali Iranli, Wonbok Lee, Massoud Pedram:
Backlight dimming in power-aware mobile displays.
604-607
Electronic Edition (ACM DL) BibTeX
- Wei-Chung Cheng, Chain-Fu Chao:
Minimization for LED-backlit TFT-LCDs.
608-611
Electronic Edition (ACM DL) BibTeX
Session 36:
electrical and thermal issues in FPGAS
- Yan Meng, Timothy Sherwood, Ryan Kastner:
Leakage power reduction of embedded memories on FPGAs through location assignment.
612-617
Electronic Edition (ACM DL) BibTeX
- David Atienza, Pablo Garcia Del Valle, Giacomo Paci, Francesco Poletti, Luca Benini, Giovanni De Micheli, Jose Manuel Mendias:
A fast HW/SW FPGA-based thermal emulation framework for multi-processor system-on-chip.
618-623
Electronic Edition (ACM DL) BibTeX
- Georges Nabaa, Navid Azizi, Farid N. Najm:
An adaptive FPGA architecture with process variation compensation and reduced leakage.
624-629
Electronic Edition (ACM DL) BibTeX
- Suresh Srinivasan, Prasanth Mangalagiri, Yuan Xie, Narayanan Vijaykrishnan, Karthik Sarpatwari:
FLAW: FPGA lifetime awareness.
630-635
Electronic Edition (ACM DL) BibTeX
Session 37:
special session:
beyond low-power design:
environmental energy harvesting
Session 38:
communication-driven synthesis
- Jordi Cortadella, Michael Kishinevsky, Bill Grundmann:
Synthesis of synchronous elastic architectures.
657-662
Electronic Edition (ACM DL) BibTeX
- Sujan Pandey, Manfred Glesner:
Statistical on-chip communication bus synthesis and voltage scaling under timing yield constraint.
663-668
Electronic Edition (ACM DL) BibTeX
- Levent Aksoy, Eduardo A. C. da Costa, Paulo F. Flores, José Monteiro:
Optimization of area under a delay constraint in digital filter synthesis using SAT-based integer linear programming.
669-674
Electronic Edition (ACM DL) BibTeX
- Jason Cong, Yiping Fan, Guoling Han, Wei Jiang, Zhiru Zhang:
Behavior and communication co-optimization for systems with sequential communication media.
675-678
Electronic Edition (ACM DL) BibTeX
- Cristian Soviani, Ilija Hadzic, Stephen A. Edwards:
Synthesis of high-performance packet processing pipelines.
679-682
Electronic Edition (ACM DL) BibTeX
Session 39:
parallelism and memory optimizations
- Sang-Il Han, Xavier Guerin, Soo-Ik Chae, Ahmed Amine Jerraya:
Buffer memory optimization for video codec application modeled in Simulink.
689-694
Electronic Edition (ACM DL) BibTeX
- Pablo Viana, Ann Gordon-Ross, Eamonn J. Keogh, Edna Barros, Frank Vahid:
Configurable cache subsetting for fast cache tuning.
695-700
Electronic Edition (ACM DL) BibTeX
- Lei Yang, Haris Lekatsas, Robert P. Dick:
High-performance operating system controlled memory compression.
701-704
Electronic Edition (ACM DL) BibTeX
- Vladimir Stojanovic, R. Iris Bahar, Jennifer Dworak, Richard Weiss:
A cost-effective implementation of an ECC-protected instruction queue for out-of-order microprocessors.
705-708
Electronic Edition (ACM DL) BibTeX
- Ozcan Ozturk, Guilin Chen, Mahmut T. Kandemir:
Optimizing code parallelization through a constraint network based approach.
863-688
Electronic Edition (ACM DL) BibTeX
Session 40:
panel
Session 41:
nanotubes and nanowires
Session 42:
simulation assisted formal verification
Session 43:
yield analysis and improvement
Session 44:
approaches to soft error mitigation
Session 45:
design/technology interaction
Session 46:
panel
Session 47:
special session:
more Moore's law and more than Moore's law
Session 48:
formal specification and verification testbench generation
Session 49:
analysis and optimization issues in NoC design
Session 50:
special session:
key technologies for beyond the die
Session 51:
analog design and design assistance
- Pierluigi Nuzzo, Geert Van der Plas, Fernando De Bernardinis, Liesbet Van der Perre, Bert Gyselinckx, Pierangelo Terreni:
A 10.6mW/0.8pJ power-scalable 1GS/s 4b ADC in 0.18mum CMOS with 5.8GHz ERBW.
873-878
Electronic Edition (ACM DL) BibTeX
- Arthur Nieuwoudt, Tamer Ragheb, Yehia Massoud:
SOC-NLNA: synthesis and optimization for fully integrated narrow-band CMOS low noise amplifiers.
879-884
Electronic Edition (ACM DL) BibTeX
- Sherif Hammouda, Hazem Said, Mohamed Dessouky, Mohamed Tawfik, Quang Nguyen, Wael M. Badawy, Hazem M. Abbas, Hussein I. Shahein:
Chameleon ART: a non-optimization based analog design migration framework.
885-888
Electronic Edition (ACM DL) BibTeX
- Michaël Goffioul, Gerd Vandersteen, Joris Van Driessche, Björn Debaillie, Boris Come:
Ensuring consistency during front-end design using an object-oriented interfacing tool called NETLISP.
889-892
Electronic Edition (ACM DL) BibTeX
Session 52:
high-performance simulation of transaction level and dataflow models
- Chia-Jui Hsu, Suren Ramasubbu, Ming-Yung Ko, José Luis Pino, Shuvra S. Bhattacharyya:
Efficient simulation of critical synchronous dataflow graphs.
893-898
Electronic Edition (ACM DL) BibTeX
- Sander Stuijk, Marc Geilen, Twan Basten:
Exploring trade-offs in buffer requirements and throughput constraints for synchronous dataflow graphs.
899-904
Electronic Edition (ACM DL) BibTeX
- Wolfgang Klingauf, Robert Günzel, Oliver Bringmann, Pavel Parfuntseu, Mark Burton:
GreenBus: a generic interconnect fabric for transaction level modelling.
905-910
Electronic Edition (ACM DL) BibTeX
- Fernando Herrera, Eugenio Villar:
A framework for embedded system specification under different models of computation in SystemC.
911-914
Electronic Edition (ACM DL) BibTeX
- Elvinia Riccobene, Patrizia Scandurra, Alberto Rosti, Sara Bocchio:
A model-driven design environment for embedded systems.
915-918
Electronic Edition (ACM DL) BibTeX
Session 53:
nano- and bio-chip design
- Constantin Pistol, Alvin R. Lebeck, Chris Dwyer:
Design automation for DNA self-assembled nanostructures.
919-924
Electronic Edition (ACM DL) BibTeX
- William L. Hwang, Fei Su, Krishnendu Chakrabarty:
Automated design of pin-constrained digital microfluidic arrays for lab-on-a-chip applications*.
925-930
Electronic Edition (ACM DL) BibTeX
- Ping-Hung Yuh, Chia-Lin Yang, Yao-Wen Chang:
Placement of digital microfluidic biochips using the t-tree formulation.
931-934
Electronic Edition (ACM DL) BibTeX
- Mark M. Budnik, Arijit Raychowdhury, Aditya Bansal, Kaushik Roy:
A high density, carbon nanotube capacitor for decoupling applications.
935-938
Electronic Edition (ACM DL) BibTeX
Session 54:
logic and sequential synthesis
Session 55:
low power circuit design
- Quming Zhou, Kartik Mohanram:
Elmore model for energy estimation in RC trees.
965-970
Electronic Edition (ACM DL) BibTeX
- Swaroop Ghosh, Saibal Mukhopadhyay, Kee-Jong Kim, Kaushik Roy:
Self-calibration technique for reduction of hold failures in low-power nano-scaled SRAM.
971-976
Electronic Edition (ACM DL) BibTeX
- Hamed F. Dadgour, Rajiv V. Joshi, Kaustav Banerjee:
A novel variation-aware low-power keeper architecture for wide fan-in dynamic gates.
977-982
Electronic Edition (ACM DL) BibTeX
- Saumil Shah, Puneet Gupta, Andrew B. Kahng:
Standard cell library optimization for leakage reduction.
983-986
Electronic Edition (ACM DL) BibTeX
- Avnish R. Brahmbhatt, Jingyi Zhang, Qing Wu, Qinru Qiu:
Low-power bus encoding using an adaptive hybrid algorithm.
987-990
Electronic Edition (ACM DL) BibTeX
Session 56:
beyond-the-die circuit and system integration
- Gian Luca Loi, Banit Agrawal, Navin Srivastava, Sheng-Chih Lin, Timothy Sherwood, Kaustav Banerjee:
A thermally-aware performance analysis of vertically integrated (3-D) processor-memory hierarchy.
991-996
Electronic Edition (ACM DL) BibTeX
- Hao Hua, Christopher Mineo, Kory Schoenfliess, Ambarish M. Sule, Samson Melamed, Ravi Jenkal, W. Rhett Davis:
Exploring compromises among timing, power and temperature in three-dimensional integrated circuits.
997-1002
Electronic Edition (ACM DL) BibTeX
- Rui Shi, Chung-Kuan Cheng:
Efficient escape routing for hexagonal array of high density I/Os.
1003-1008
Electronic Edition (ACM DL) BibTeX
- Rohan Mandrekar, Krishna Bharath, Krishna Srinivasan, Ege Engin, Madhavan Swaminathan:
System level signal and power integrity analysis methodology for system-in-package applications.
1009-1012
Electronic Edition (ACM DL) BibTeX
- Wm. Bereza, Yuming Tao, Shoujun Wang, Tad A. Kwasniewski, Rakesh H. Patel:
PELE: pre-emphasis & equalization link estimator to address the effects of signal integrity limitations.
1013-1016
Electronic Edition (ACM DL) BibTeX
Session 57:
new ideas in analog/RF modeling and simulation
Session 58:
advanced methods for interconnect extraction,
clocks and reliability
- Matthew R. Guthaus, Dennis Sylvester, Richard B. Brown:
Clock buffer and wire sizing using sequential programming.
1041-1046
Electronic Edition (ACM DL) BibTeX
- Rakesh Vattikonda, Wenping Wang, Yu Cao:
Modeling and minimization of PMOS NBTI effect for robust nanometer design.
1047-1052
Electronic Edition (ACM DL) BibTeX
- Chuanyi Yang, Swagato Chakraborty, Dipanjan Gope, Vikram Jandhyala:
A parallel low-rank multilevel matrix compression algorithm for parasitic extraction of electrically large structures.
1053-1056
Electronic Edition (ACM DL) BibTeX
- Eric Karl, David Blaauw, Dennis Sylvester, Trevor N. Mudge:
Reliability modeling and management in dynamic microprocessor-based systems.
1057-1060
Electronic Edition (ACM DL) BibTeX
Session 59:
panel
Session 60:
bounded model checking and equivalence verification
Session 61:
test response compaction and ATPG
- Mango Chia-Tso Chao, Kwang-Ting Cheng, Seongmoon Wang, Srimat T. Chakradhar, Wenlong Wei:
Unknown-tolerance analysis and test-quality control for test response compaction using space compactors.
1083-1088
Electronic Edition (ACM DL) BibTeX
- Grzegorz Mrugalski, Janusz Rajski, Jerzy Tyszer:
Test response compactor with programmable selector.
1089-1094
Electronic Edition (ACM DL) BibTeX
- Harald P. E. Vranken, Sandeep Kumar Goel, Andreas Glowatz, Jürgen Schlöffel, Friedrich Hapke:
Fault detection and diagnosis with parity trees for space compaction of test responses.
1095-1098
Electronic Edition (ACM DL) BibTeX
- Jeffrey E. Nelson, Jason G. Brown, Rao Desineni, R. D. (Shawn) Blanton:
Multiple-detect ATPG based on physical neighborhoods.
1099-1102
Electronic Edition (ACM DL) BibTeX
Session 62:
placement
Copyright © Sat May 16 23:04:39 2009
by Michael Ley (ley@uni-trier.de)