dblp.uni-trier.dewww.uni-trier.de

Ryan Kastner

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2009
63EEJunguk Cho, Shahnam Mirzaei, Jason Oberg, Ryan Kastner: Fpga-based face detection system using Haar classifiers. FPGA 2009: 103-112
2008
62EEShahnam Mirzaei, Ali Irturk, Ryan Kastner, Brad T. Weals, Richard E. Cagley: Design space exploration of a cooperative MIMO receiver for reconfigurable architectures. ASAP 2008: 167-172
61 Ryan Kastner, Ted Huffmire: Threats and Challenges in Reconfigurable Hardware Security. ERSA 2008: 334-345
60EETed Huffmire, Jonathan Valamehr, Timothy Sherwood, Ryan Kastner, Timothy E. Levin, Thuy D. Nguyen, Cynthia E. Irvine: Trustworthy System Security through 3-D Integrated Hardware. HOST 2008: 91-92
59EEAli Irturk, Bridget Benson, Shahnam Mirzaei, Ryan Kastner: An FPGA Design Space Exploration Tool for Matrix Inversion Architectures. SASP 2008: 42-47
58EEBridget Benson, Ali Irturk, Junguk Cho, Ryan Kastner: Survey of hardware platforms for an energy efficient implementation of matching pursuits algorithm for shallow water networks. Underwater Networks 2008: 83-86
57EETed Huffmire, Brett Brotherton, Nick Callegari, Jonathan Valamehr, Jeff White, Ryan Kastner, Timothy Sherwood: Designing secure systems on reconfigurable hardware. ACM Trans. Design Autom. Electr. Syst. 13(3): (2008)
56EETed Huffmire, Timothy Sherwood, Ryan Kastner, Timothy E. Levin: Enforcing memory policy specifications in reconfigurable hardware. Computers & Security 27(5-6): 197-215 (2008)
2007
55EESusmit Biswas, Gang Wang, Tzvetan S. Metodi, Ryan Kastner, Frederic T. Chong: Combining static and dynamic defect-tolerance techniques for nanoscale memory systems. ICCAD 2007: 773-778
54EETed Huffmire, Brett Brotherton, Gang Wang, Timothy Sherwood, Ryan Kastner, Timothy E. Levin, Thuy D. Nguyen, Cynthia E. Irvine: Moats and Drawbridges: An Isolation Primitive for Reconfigurable Hardware Based Systems. IEEE Symposium on Security and Privacy 2007: 281-295
53EEGang Wang, Wenrui Gong, Brian DeRenzi, Ryan Kastner: Exploring time/resource trade-offs by solving dual scheduling problems with the ant colony optimization. ACM Trans. Design Autom. Electr. Syst. 12(4): (2007)
52EEGang Wang, Wenrui Gong, Brian DeRenzi, Ryan Kastner: Ant Colony Optimizations for Resource- and Timing-Constrained Operation Scheduling. IEEE Trans. on CAD of Integrated Circuits and Systems 26(6): 1010-1029 (2007)
51EEAnup Hosangadi, Farzan Fallah, Ryan Kastner: Algebraic Methods for Optimizing Constant Multiplications in Linear Systems. VLSI Signal Processing 49(1): 31-50 (2007)
2006
50EEGang Wang, Wenrui Gong, Brian DeRenzi, Ryan Kastner: Design space exploration using time and resource duality with the ant colony optimization. DAC 2006: 451-454
49EEYan Meng, Timothy Sherwood, Ryan Kastner: Leakage power reduction of embedded memories on FPGAs through location assignment. DAC 2006: 612-617
48EERyan Kastner, Wenrui Gong, Xin Hao, Forrest Brewer, Adam Kaplan, Philip Brisk, Majid Sarrafzadeh: Layout driven data communication optimization for high level synthesis. DATE 2006: 1185-1190
47EEAnup Hosangadi, Farzan Fallah, Ryan Kastner: Optimizing high speed arithmetic circuits using three-term extraction. DATE 2006: 1294-1299
46EETed Huffmire, Shreyas Prasad, Timothy Sherwood, Ryan Kastner: Policy-Driven Memory Protection for Reconfigurable Hardware. ESORICS 2006: 461-478
45EEGang Wang, Wenrui Gong, Ryan Kastner: Defect-Tolerant Nanocomputing Using Bloom Filters. FCCM 2006: 277-278
44EEShahnam Mirzaei, Anup Hosangadi, Ryan Kastner: High speed FIR filter implementation using add and shift method. FPGA 2006: 231
43EERonald A. Iltis, Shahnam Mirzaei, Ryan Kastner, Richard E. Cagley, Brad T. Weals: Carrier Offset and Channel Estimation for Cooperative MIMO Sensor Networks. GLOBECOM 2006
42EEGang Wang, Wenrui Gong, Ryan Kastner: On the use of Bloom filters for defect maps in nanocomputing. ICCAD 2006: 743-746
41EEShahnam Mirzaei, Anup Hosangadi, Ryan Kastner: FPGA Implementation of High Speed FIR Filters Using Add and Shift Method. ICCD 2006
40EEBridget Benson, Grace Chang, Derek Manov, Brian Graham, Ryan Kastner: Design of a low-cost acoustic modem for moored oceanographic applications. Underwater Networks 2006: 71-78
39EEAnup Hosangadi, Farzan Fallah, Ryan Kastner: Optimizing Polynomial Expressions by Algebraic Factorization and Common Subexpression Elimination. IEEE Trans. on CAD of Integrated Circuits and Systems 25(10): 2012-2022 (2006)
38EEGang Wang, Satish Sivaswamy, Cristinel Ababei, Kia Bazargan, Ryan Kastner, Elaheh Bozorgzadeh: Statistical Analysis and Design of HARP FPGAs. IEEE Trans. on CAD of Integrated Circuits and Systems 25(10): 2088-2102 (2006)
37EEGang Wang, Wenrui Gong, Ryan Kastner: Application partitioning on programmable platforms using the ant colony optimization. J. Embedded Computing 2(1): 119-136 (2006)
2005
36EEGang Wang, Wenrui Gong, Ryan Kastner: Instruction scheduling using MAX-MIN ant system optimization. ACM Great Lakes Symposium on VLSI 2005: 44-49
35EEAnup Hosangadi, Farzan Fallah, Ryan Kastner: Reducing hardware complexity of linear DSP systems by iteratively eliminating two-term common subexpressions. ASP-DAC 2005: 523-528
34EEYan Meng, Andrew P. Brown, Ronald A. Iltis, Timothy Sherwood, Hua Lee, Ryan Kastner: MP core: algorithm and design techniques for efficient channel estimation in wireless applications. DAC 2005: 297-302
33 Wenrui Gong, Yan Meng, Gang Wang, Ryan Kastner, Timothy Sherwood: Data Partitioning and Optimizations for Reconfigurable Architectures. ERSA 2005: 239-242
32EESatish Sivaswamy, Gang Wang, Cristinel Ababei, Kia Bazargan, Ryan Kastner, Eli Bozorgzadeh: HARP: hard-wired routing pattern FPGAs. FPGA 2005: 21-29
31EEYan Meng, Timothy Sherwood, Ryan Kastner: On the Limits of Leakage Power Reduction in Caches. HPCA 2005: 154-165
30 Wenrui Gong, Gang Wang, Ryan Kastner: Storage assignment during high-level synthesis for configurable architectures. ICCAD 2005: 3-6
29EEAnup Hosangadi, Farzan Fallah, Ryan Kastner: Energy Efficient Hardware Synthesis of Polynomial Expressions. VLSI Design 2005: 653-658
28EESeda Ogrenci Memik, Ryan Kastner, Elaheh Bozorgzadeh, Majid Sarrafzadeh: A scheduling algorithm for optimization and early planning in high-level synthesis. ACM Trans. Design Autom. Electr. Syst. 10(1): 33-57 (2005)
27EEYan Meng, Wenrui Gong, Ryan Kastner, Timothy Sherwood: Algorithm/Architecture Co-exploration for Designing Energy Efficient Wireless Channel Estimator. J. Low Power Electronics 1(3): 238-248 (2005)
26EEYan Meng, Timothy Sherwood, Ryan Kastner: Exploring the limits of leakage power reduction in caches. TACO 2(3): 221-246 (2005)
2004
25EEAnup Hosangadi, Farzan Fallah, Ryan Kastner: Common Subexpression Elimination Involving Multiple Variables for Linear DSP Synthesis. ASAP 2004: 202-212
24 Wenrui Gong, Gang Wang, Ryan Kastner: A High Performance Application Representation for Reconfigurable Systems. ERSA 2004: 218-224
23EEAnup Hosangadi, Farzan Fallah, Ryan Kastner: Factoring and eliminating common subexpressions in polynomial expressions. ICCAD 2004: 169-174
22 Ankur Srivastava, Ryan Kastner, Chunhong Chen, Majid Sarrafzadeh: Timing driven gate duplication. IEEE Trans. VLSI Syst. 12(1): 42-51 (2004)
2003
21EEAdam Kaplan, Philip Brisk, Ryan Kastner: Data communication estimation and reduction for reconfigurable systems. DAC 2003: 616-621
20EEXiaojian Yang, Maogang Wang, Ryan Kastner, Soheil Ghiasi, Majid Sarrafzadeh: Congestion reduction during placement with provably good approximation bound. ACM Trans. Design Autom. Electr. Syst. 8(3): 316-333 (2003)
19EEElaheh Bozorgzadeh, Ryan Kastner, Majid Sarrafzadeh: Creating and exploiting flexibility in rectilinear Steiner trees. IEEE Trans. on CAD of Integrated Circuits and Systems 22(5): 605-615 (2003)
2002
18EEPhilip Brisk, Adam Kaplan, Ryan Kastner, Majid Sarrafzadeh: Instruction generation and regularity extraction for reconfigurable processors. CASES 2002: 262-269
17EERyan Kastner, Christina Hsieh, Miodrag Potkonjak, Majid Sarrafzadeh: On the Sensitivity of Incremental Algorithms for Combinatorial Auctions. WECWIS 2002: 81-88
16EERyan Kastner, Adam Kaplan, Seda Ogrenci Memik, Elaheh Bozorgzadeh: Instruction generation for hybrid reconfigurable systems. ACM Trans. Design Autom. Electr. Syst. 7(4): 605-627 (2002)
15EEXiaojian Yang, Ryan Kastner, Majid Sarrafzadeh: Congestion estimation during top-down placement. IEEE Trans. on CAD of Integrated Circuits and Systems 21(1): 72-80 (2002)
14EERyan Kastner, Elaheh Bozorgzadeh, Majid Sarrafzadeh: Pattern routing: use and theory for increasing predictability andavoiding coupling. IEEE Trans. on CAD of Integrated Circuits and Systems 21(7): 777-790 (2002)
2001
13EEElaheh Bozorgzadeh, Ryan Kastner, Majid Sarrafzadeh: Creating and Exploiting Flexibility in Steiner Trees. DAC 2001: 195-198
12EERyan Kastner, Seda Ogrenci Memik, Elaheh Bozorgzadeh, Majid Sarrafzadeh: Instruction Generation for Hybrid Reconfigurable Systems. ICCAD 2001: 127-
11EESeda Ogrenci Memik, Elaheh Bozorgzadeh, Ryan Kastner, Majid Sarrafzadeh: A Super-Scheduler for Embedded Reconfigurable Systems. ICCAD 2001: 391-
10EEXiaojian Yang, Ryan Kastner, Majid Sarrafzadeh: Congestion Reduction During Placement Based on Integer Programming. ICCAD 2001: 573-576
9EERyan Kastner, Elaheh Bozorgzadeh, Majid Sarrafzadeh: An exact algorithm for coupling-free routing. ISPD 2001: 10-15
8EEXiaojian Yang, Ryan Kastner, Majid Sarrafzadeh: Congestion estimation during top-down placement. ISPD 2001: 164-169
7EEMajid Sarrafzadeh, Elaheh Bozorgzadeh, Ryan Kastner, Ankur Srivastava: Design and analysis of physical design algorithms. ISPD 2001: 82-89
6EEAnkur Srivastava, Ryan Kastner, Majid Sarrafzadeh: On the complexity of gate duplication. IEEE Trans. on CAD of Integrated Circuits and Systems 20(9): 1170-1176 (2001)
2000
5EEKia Bazargan, Ryan Kastner, Seda Ogrenci, Majid Sarrafzadeh: A C to Hardware/Software Compiler. FCCM 2000: 331-332
4 Ryan Kastner, Elaheh Bozorgzadeh, Majid Sarrafzadeh: Predictable Routing. ICCAD 2000: 110-113
3 Ankur Srivastava, Ryan Kastner, Majid Sarrafzadeh: Timing Driven Gate Duplication: Complexity Issues and Algorithms. ICCAD 2000: 447-450
2EEKia Bazargan, Ryan Kastner, Majid Sarrafzadeh: Fast Template Placement for Reconfigurable Computing Systems. IEEE Design & Test of Computers 17(1): 68-83 (2000)
1999
1EEKia Bazargan, Ryan Kastner, Majid Sarrafzadeh: 3-D Floorplanning: Simulated Annealing and Greedy Placement Methods for Reconfigurable Computing Systems. IEEE International Workshop on Rapid System Prototyping 1999: 38-

Coauthor Index

1Cristinel Ababei [32] [38]
2Kia Bazargan [1] [2] [5] [32] [38]
3Bridget Benson [40] [58] [59]
4Susmit Biswas [55]
5Elaheh Bozorgzadeh (Eli Bozorgzadeh) [4] [7] [9] [11] [12] [13] [14] [16] [19] [28] [32] [38]
6Forrest Brewer [48]
7Philip Brisk [18] [21] [48]
8Brett Brotherton [54] [57]
9Andrew P. Brown [34]
10Richard E. Cagley [43] [62]
11Nick Callegari [57]
12Grace Chang [40]
13Chunhong Chen [22]
14Junguk Cho [58] [63]
15Frederic T. Chong [55]
16Brian DeRenzi [50] [52] [53]
17Farzan Fallah [23] [25] [29] [35] [39] [47] [51]
18Soheil Ghiasi [20]
19Wenrui Gong [24] [27] [30] [33] [36] [37] [42] [45] [48] [50] [52] [53]
20Brian Graham [40]
21Xin Hao [48]
22Anup Hosangadi [23] [25] [29] [35] [39] [41] [44] [47] [51]
23Christina Hsieh [17]
24Ted Huffmire [46] [54] [56] [57] [60] [61]
25Ronald A. Iltis [34] [43]
26Ali Irturk [58] [59] [62]
27Cynthia E. Irvine [54] [60]
28Adam Kaplan [16] [18] [21] [48]
29Hua Lee [34]
30Timothy E. Levin [54] [56] [60]
31Derek Manov [40]
32Seda Ogrenci Memik (Seda Ogrenci) [5] [11] [12] [16] [28]
33Yan Meng [26] [27] [31] [33] [34] [49]
34Tzvetan S. Metodi [55]
35Shahnam Mirzaei [41] [43] [44] [59] [62] [63]
36Thuy D. Nguyen [54] [60]
37Jason Oberg [63]
38Miodrag Potkonjak [17]
39Shreyas Prasad [46]
40Majid Sarrafzadeh [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15] [17] [18] [19] [20] [22] [28] [48]
41Timothy Sherwood [26] [27] [31] [33] [34] [46] [49] [54] [56] [57] [60]
42Satish Sivaswamy [32] [38]
43Ankur Srivastava [3] [6] [7] [22]
44Jonathan Valamehr [57] [60]
45Gang Wang [24] [30] [32] [33] [36] [37] [38] [42] [45] [50] [52] [53] [54] [55]
46Maogang Wang [20]
47Brad T. Weals [43] [62]
48Jeff White [57]
49Xiaojian Yang [8] [10] [15] [20]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)