dblp.uni-trier.dewww.uni-trier.de

Yu Hu

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2009
59EEWei Yao, Yiyu Shi, Lei He, Sudhakar Pamarti, Yu Hu: Worst case timing jitter and amplitude noise in differential signaling. ISQED 2009: 40-46
58EEJu-Yueh Lee, Yu Hu, Rupak Majumdar, Lei He: Simultaneous test pattern compaction, ordering and X-filling for testing power reduction. ISQED 2009: 702-707
57EEYu Hu, Satyaki Das, Steven Trimberger, Lei He: Design and Synthesis of Programmable Logic Block With Mixed LUT and Macrogate. IEEE Trans. on CAD of Integrated Circuits and Systems 28(4): 591-595 (2009)
2008
56EEYu Hu, Xiang Fu, Xiaoxin Fan, Hideo Fujiwara: Localized random access scan: Towards low area and routing overhead. ASP-DAC 2008: 565-570
55EEFei Wang, Yu Hu, Huawei Li, Xiaowei Li: A design- for-diagnosis technique for diagnosing both scan chain faults and combinational circuit faults. ASP-DAC 2008: 571-576
54EEJia Li, Qiang Xu, Yu Hu, Xiaowei Li: On reducing both shift and capture power for scan-based testing. ASP-DAC 2008: 653-658
53EEXiang Fu, Huawei Li, Yu Hu, Xiaowei Li: Robust test generation for power supply noise induced path delay faults. ASP-DAC 2008: 659-662
52EEYu Hu, Victor Shih, Rupak Majumdar, Lei He: FPGA area reduction by multi-output function based sequential resynthesis. DAC 2008: 24-29
51EEJia Li, Qiang Xu, Yu Hu, Xiaowei Li: iFill: An Impact-Oriented X-Filling Method for Shift- and Capture-Power Reduction in At-Speed Scan-Based Testing. DATE 2008: 1184-1189
50EEFei Wang, Yu Hu, Xiaowei Li: Adaptive Diagnostic Pattern Generation for Scan Chains. DELTA 2008: 129-132
49EEJia Li, Qiang Xu, Yu Hu, Xiaowei Li: Channel Width Utilization Improvement in Testing NoC-Based Systems for Test Time Reduction. DELTA 2008: 26-31
48EEDa Wang, Rui Li, Yu Hu, Huawei Li, Xiaowei Li: A Case Study on At-Speed Testing for a Gigahertz Microprocessor. DELTA 2008: 326-331
47EEHui Liu, Huawei Li, Yu Hu, Xiaowei Li: A Scan-Based Delay Test Method for Reduction of Overtesting. DELTA 2008: 521-526
46EEJia Li, Xiao Liu, Yubin Zhang, Yu Hu, Xiaowei Li, Qiang Xu: On capture power-aware test data compression for scan-based testing. ICCAD 2008: 67-72
45EEYu Hu, Zhe Feng, Lei He, Rupak Majumdar: Robust FPGA resynthesis based on fault-tolerant Boolean matching. ICCAD 2008: 706-713
44EEYing Zhang, Huawei Li, Xiaowei Li, Yu Hu: Codeword Selection for Crosstalk Avoidance and Error Correction on Interconnects. VTS 2008: 377-382
43EEYu Hu, Yan Lin, Lei He, Tim Tuan: Physical synthesis for FPGA interconnect power reduction by dual-Vdd budgeting and retiming. ACM Trans. Design Autom. Electr. Syst. 13(2): (2008)
42EEYu Hu, Victor Shih, Rupak Majumdar, Lei He: Exploiting Symmetries to Speed Up SAT-Based Boolean Matching for Logic Synthesis of FPGAs. IEEE Trans. on CAD of Integrated Circuits and Systems 27(10): 1751-1760 (2008)
41EEZhen Cao, Tong Jing, Jinjun Xiong, Yu Hu, Zhe Feng, Lei He, Xianlong Hong: Fashion: A Fast and Accurate Solution to Global Routing Problem. IEEE Trans. on CAD of Integrated Circuits and Systems 27(4): 726-737 (2008)
40EEKing Ho Tam, Yu Hu, Lei He, Tom Tong Jing, Xinyi Zhang: Dual-Vdd Buffer Insertion for Power Reduction. IEEE Trans. on CAD of Integrated Circuits and Systems 27(8): 1498-1502 (2008)
39EETom Tong Jing, Yu Hu, Zhe Feng, Xian-Long Hong, Xiaodong Hu, Guiying Yan: A full-scale solution to the rectilinear obstacle-avoiding Steiner problem. Integration 41(3): 413-425 (2008)
2007
38EEZhen Cao, Tong Jing, Jinjun Xiong, Yu Hu, Lei He, Xianlong Hong: DpRouter: A Fast and Accurate Dynamic-Pattern-Based Global Routing Algorithm. ASP-DAC 2007: 256-261
37EEYu Hu, Satyaki Das, Steven Trimberger, Lei He: Design, synthesis and evaluation of heterogeneous FPGA with mixed LUTs and macro-gates. ICCAD 2007: 188-193
36EEYu Hu, Victor Shih, Rupak Majumdar, Lei He: Exploiting symmetry in SAT-based Boolean matching for heterogeneous FPGA technology mapping. ICCAD 2007: 350-353
35EEYu Hu, Qing Li, Siwei Ma, C. C. Jay Kuo: Decoder-Friendly Adaptive Deblocking Filter (DF-ADF) Mode Decision in H.264/AVC. ISCAS 2007: 3976-3979
34EEHao Yu, Yu Hu, Chunchen Liu, Lei He: Minimal skew clock embedding considering time variant temperature gradient. ISPD 2007: 173-180
33EEYu Hu, King Ho Tam, Tong Jing, Lei He: Fast dual-vdd buffering based on interconnect prediction and sampling. SLIP 2007: 95-102
32EEYinhe Han, Yu Hu, Xiaowei Li, Huawei Li, Anshuman Chandra: Embedded Test Decompressor to Reduce the Required Channels and Vector Memory of Tester for Complex Processor Circuit. IEEE Trans. VLSI Syst. 15(5): 531-540 (2007)
31EETom Tong Jing, Zhe Feng, Yu Hu, Xian-Long Hong, Xiaodong Hu, Guiying Yan: lambda-OAT: lambda-Geometry Obstacle-Avoiding Tree Construction With O(nlog n) Complexity. IEEE Trans. on CAD of Integrated Circuits and Systems 26(11): 2073-2079 (2007)
30EEWei Wang, Yu Hu, Yinhe Han, Xiaowei Li, You-Sheng Zhang: Leakage Current Optimization Techniques During Test Based on Don't Care Bits Assignment. J. Comput. Sci. Technol. 22(5): 673-680 (2007)
2006
29EEZhen Cao, Tong Jing, Yu Hu, Yiyu Shi, Xianlong Hong, Xiaodong Hu, Guiying Yan: DraXRouter: global routing in X-Architecture with dynamic resource assignment. ASP-DAC 2006: 618-623
28EEYu Hu, Yan Lin, Lei He, Tim Tuan: Simultaneous time slack budgeting and retiming for dual-Vdd FPGA power reduction. DAC 2006: 478-483
27EEWei-Yang Lin, Kin-Chung Wong, Yu Hu, Nigel Boston: Face Recognition using 3D Summation Invariant Features. ICME 2006: 1733-1736
26EEYu Hu, Qing Li, Siwei Ma, C. C. Jay Kuo: Joint Rate-Distortion-Complexity Optimization for H.264 Motion Search. ICME 2006: 1949-1952
25EEYu Hu, Qing Li, Siwei Ma, C. C. Jay Kuo: Fast H.264/AVC Inter-Mode Decision with RDC Optimization. IIH-MSP 2006: 511-516
24EEJie Don, Yu Hu, Yinhe Han, Xiaowei Li: An on-chip combinational decompressor for reducing test data volume. ISCAS 2006
23EEYu Hu, Qiang Huo: An HMM Compensation Approach Using Unscented Transformation for Noisy Speech Recognition. ISCSLP 2006: 346-357
22EEYan Lin, Yu Hu, Lei He, Vijay Raghunat: An efficient chip-level time slack allocation algorithm for Dual-Vdd FPGA power reduction. ISLPED 2006: 168-173
21EEZhe Feng, Yu Hu, Tong Jing, Xianlong Hong, Xiaodong Hu, Guiying Yan: An O(nlogn) algorithm for obstacle-avoiding routing tree construction in the lambda-geometry plane. ISPD 2006: 48-55
20EEYu Hu, Yinhe Han, Xiaowei Li, Huawei Li, Xiaoqing Wen: Compression/Scan Co-design for Reducing Test Data Volume, Scan-in Power Dissipation, and Test Application Time. IEICE Transactions 89-D(10): 2616-2625 (2006)
19EEYu Hu, Tong Jing, Zhe Feng, Xianlong Hong, Xiaodong Hu, Guiying Yan: ACO-Steiner: Ant Colony Optimization Based Rectilinear Steiner Minimal Tree Algorithm. J. Comput. Sci. Technol. 21(1): 147-152 (2006)
2005
18EEZhen-Hua Ling, Yu Hu, Ren-Hua Wang: A Novel Source Analysis Method by Matching Spectral Characters of LF Model with STRAIGHT Spectrum. ACII 2005: 441-448
17EEYang Yang, Tong Jing, Xianlong Hong, Yu Hu, Qi Zhu, Xiaodong Hu, Guiying Yan: Via-Aware Global Routing for Good VLSI Manufacturability and High Yield. ASAP 2005: 198-203
16EEYinhe Han, Yu Hu, Huawei Li, Xiaowei Li: Theoretic analysis and enhanced X-tolerance of test response compact based on convolutional code. ASP-DAC 2005: 53-58
15EEYu Hu, Tong Jing, Xianlong Hong, Zhe Feng, Xiaodong Hu, Guiying Yan: An-OARSMan: obstacle-avoiding routing tree construction with good length performance. ASP-DAC 2005: 7-12
14EEYinhe Han, Xiaowei Li, Shivakumar Swaminathan, Yu Hu, Anshuman Chandra: Scan Data Volume Reduction Using Periodically Alterable MUXs Decompressor. Asian Test Symposium 2005: 372-377
13EEYinhe Han, Yu Hu, Huawei Li, Xiaowei Li: Using MUXs Network to Hide Bunches of Scan Chains. ISQED 2005: 238-243
12EEYu Hu, Xiaowei Li, Huawei Li, Xiaoqing Wen: Compression/Scan Co-Design for Reducing Test Data Volume, Scan-in Power Dissipation and Test Application Time. PRDC 2005: 175-182
11EEYu Hu, Qing Li, C. C. Jay Kuo: Run-Time Power Consumption Modeling for Embedded Multimedia Systems. RTCSA 2005: 353-356
10EEYu Hu, Tong Jing, Xianlong Hong, Xiaodong Hu, Guiying Yan: A Routing Paradigm with Novel Resources Estimation and Routability Models for X-Architecture Based Physical Design. SAMOS 2005: 344-353
9EEYinhe Han, Yu Hu, Xiaowei Li, Huawei Li, Anshuman Chandra, Xiaoqing Wen: Wrapper Scan Chains Design for Rapid and Low Power Testing of Embedded Cores. IEICE Transactions 88-D(9): 2126-2134 (2005)
2004
8EEYu Hu, Yinhe Han, Huawei Li, Tao Lv, Xiaowei Li: Pair Balance-Based Test Scheduling for SOCs. Asian Test Symposium 2004: 236-241
7EEYinhe Han, Yu Hu, Huawei Li, Xiaowei Li, Anshuman Chandra: Rapid and Energy-Efficient Testing for Embedded Cores. Asian Test Symposium 2004: 8-13
6EEYinhe Han, Yu Hu, Huawei Li, Xiaowei Li, Anshuman Chandra: Response Compaction for Test Time and Test Pins Reduction Based on Advanced Convolutional Codes. DFT 2004: 298-305
5 Yu Hu, Qing Li, C. C. Jay Kuo: Efficient implementation of elliptic curve cryptography (ECC) on VLIW-micro-architecture media processor. ICME 2004: 879-882
2003
4EEGary S. H. Tan, Yu Hu, Farshad Moradi: Automatic SOM Compatibility Check and FOM Development. DS-RT 2003: 60-67
3 Yong Zhao, Yu Hu: GRESS - a Grid Replica Selection Service. ISCA PDCS 2003: 423-429
2000
2EERen-Hua Wang, Qingfeng Liu, Yu Hu, Bo Yin, Xiaoru Wu: KD2000 Chinese Text-To-Speech System. ICMI 2000: 300-307
1996
1EEYu Hu, S. Lennart Johnsson: Implementing O(N) N-Body Algorithms Efficiently in Data-Parallel Languages. Scientific Programming 5(4): 337-364 (1996)

Coauthor Index

1Nigel Boston [27]
2Zhen Cao [29] [38] [41]
3Anshuman Chandra [6] [7] [9] [14] [32]
4Satyaki Das [37] [57]
5Jie Don [24]
6Xiaoxin Fan [56]
7Zhe Feng [15] [19] [21] [31] [39] [41] [45]
8Xiang Fu [53] [56]
9Hideo Fujiwara [56]
10Yinhe Han [6] [7] [8] [9] [13] [14] [16] [20] [24] [30] [32]
11Lei He [22] [28] [33] [34] [36] [37] [38] [40] [41] [42] [43] [45] [52] [57] [58] [59]
12Xian-Long Hong [31] [39]
13Xianlong Hong [10] [15] [17] [19] [21] [29] [38] [41]
14Xiao-Dong Hu (Xiaodong Hu) [10] [15] [17] [19] [21] [29] [31] [39]
15Qiang Huo [23]
16Tom Tong Jing [31] [39] [40]
17Tong Jing [10] [15] [17] [19] [21] [29] [33] [38] [41]
18S. Lennart Johnsson [1]
19C. C. Jay Kuo [5] [11] [25] [26] [35]
20Ju-Yueh Lee [58]
21Huawei Li [6] [7] [8] [9] [12] [13] [16] [20] [32] [44] [47] [48] [53] [55]
22Jia Li [46] [49] [51] [54]
23Qing Li [5] [11] [25] [26] [35]
24Rui Li [48]
25Xiaowei Li [6] [7] [8] [9] [12] [13] [14] [16] [20] [24] [30] [32] [44] [46] [47] [48] [49] [50] [51] [53] [54] [55]
26Wei-Yang Lin [27]
27Yan Lin [22] [28] [43]
28Zhen-Hua Ling [18]
29Chunchen Liu [34]
30Hui Liu [47]
31Qingfeng Liu [2]
32Xiao Liu [46]
33Tao Lv [8]
34Siwei Ma [25] [26] [35]
35Rupak Majumdar [36] [42] [45] [52] [58]
36Farshad Moradi [4]
37Sudhakar Pamarti [59]
38Vijay Raghunat [22]
39Yiyu Shi [29] [59]
40Victor Shih [36] [42] [52]
41Shivakumar Swaminathan [14]
42King Ho Tam [33] [40]
43Gary S. H. Tan [4]
44Steven Trimberger [37] [57]
45Tim Tuan [28] [43]
46Da Wang [48]
47Fei Wang [50] [55]
48Ren-Hua Wang [2] [18]
49Wei Wang [30]
50Xiaoqing Wen [9] [12] [20]
51Kin-Chung Wong [27]
52Xiaoru Wu [2]
53Jinjun Xiong [38] [41]
54Qiang Xu [46] [49] [51] [54]
55Guiying Yan [10] [15] [17] [19] [21] [29] [31] [39]
56Yang Yang [17]
57Wei Yao [59]
58Bo Yin [2]
59Hao Yu [34]
60Xinyi Zhang [40]
61Ying Zhang [44]
62You-Sheng Zhang [30]
63Yubin Zhang [46]
64Yong Zhao [3]
65Qi Zhu [17]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)