dblp.uni-trier.dewww.uni-trier.de

Hanif Fatemi

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
15EEBehnam Amelifard, Safar Hatami, Hanif Fatemi, Massoud Pedram: A Current Source Model for CMOS Logic Cells Considering Multiple Input Switching and Stack Effect. DATE 2008: 568-573
14EEVladimir Zolotov, Jinjun Xiong, Hanif Fatemi, Chandu Visweswariah: Statistical path selection for at-speed test. ICCAD 2008: 624-631
2007
13EEHanif Fatemi, Shahin Nazarian, Massoud Pedram: A Current-based Method for Short Circuit Power Calculation under Noisy Input Waveforms. ASP-DAC 2007: 774-779
12EEHanif Fatemi, Behnam Amelifard, Massoud Pedram: Power optimal MTCMOS repeater insertion for global buses. ISLPED 2007: 98-103
11EEAli Iranli, Hanif Fatemi, Massoud Pedram: HEBS: Histogram Equalization for Backlight Scaling CoRR abs/0710.4710: (2007)
10EESoroush Abbaspour, Hanif Fatemi, Massoud Pedram: Parameterized Non-Gaussian Variational Gate Timing Analysis. IEEE Trans. on CAD of Integrated Circuits and Systems 26(8): 1495-1508 (2007)
2006
9EEHanif Fatemi, Soroush Abbaspour, Massoud Pedram, Amir H. Ajami, Emre Tuncer: SACI: statistical static timing analysis of coupled interconnects. ACM Great Lakes Symposium on VLSI 2006: 241-246
8EESoroush Abbaspour, Hanif Fatemi, Massoud Pedram: Parameterized block-based non-gaussian statistical gate timing analysis. ASP-DAC 2006: 947-952
7EEHanif Fatemi, Shahin Nazarian, Massoud Pedram: Statistical logic cell delay analysis using a current-based model. DAC 2006: 253-256
6EESoroush Abbaspour, Hanif Fatemi, Massoud Pedram: Non-gaussian statistical interconnect timing analysis. DATE 2006: 533-538
2005
5EESoroush Abbaspour, Hanif Fatemi, Massoud Pedram: VITA: variation-aware interconnect timing analysis for symmetric and skewed sources of variation considering variational ramp input. ACM Great Lakes Symposium on VLSI 2005: 426-430
4EEAli Iranli, Hanif Fatemi, Massoud Pedram: HEBS: Histogram Equalization for Backlight Scaling. DATE 2005: 346-351
3EESoroush Abbaspour, Hanif Fatemi, Massoud Pedram: VGTA: Variation Aware Gate Timing Analysis. ICCD 2005: 351-356
2EEAli Iranli, Hanif Fatemi, Massoud Pedram: Lifetime-aware intrusion detection under safeguarding constraints. IPSN 2005: 189-194
2003
1EEAli Iranli, Hanif Fatemi, Massoud Pedram: A Game Theoretic Approach to Dynamic Energy Minimization in Wireless Transceivers. ICCAD 2003: 504-509

Coauthor Index

1Soroush Abbaspour [3] [5] [6] [8] [9] [10]
2Amir H. Ajami [9]
3Behnam Amelifard [12] [15]
4Safar Hatami [15]
5Ali Iranli [1] [2] [4] [11]
6Shahin Nazarian [7] [13]
7Massoud Pedram [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [15]
8Emre Tuncer [9]
9Chandu Visweswariah [14]
10Jinjun Xiong [14]
11Vladimir Zolotov [14]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)