dblp.uni-trier.dewww.uni-trier.de

Massoud Pedram

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo
Home Page

2009
293EEMassoud Pedram: Green computing: reducing energy cost and carbon footprint of information processing systems. ACM Great Lakes Symposium on VLSI 2009: 1-2
292EEEhsan Pakbaznia, Massoud Pedram: Design and application of multimodal power gating structures. ISQED 2009: 120-126
291EEAmir-Mohammad Rahmani, Masoud Daneshtalab, Ali Afzali-Kusha, Saeed Safari, Massoud Pedram: Forecasting-Based Dynamic Virtual Channels Allocation for Power Optimization of Network-on-Chips. VLSI Design 2009: 151-156
290EEBehnam Amelifard, Farzan Fallah, Massoud Pedram: Low-Power Fanout Optimization Using Multi Threshold Voltages and Multi Channel Lengths. IEEE Trans. on CAD of Integrated Circuits and Systems 28(4): 478-489 (2009)
2008
289EESafar Hatami, Hamed Abrishami, Massoud Pedram: Statistical timing analysis of flip-flops considering codependent setup and hold times. ACM Great Lakes Symposium on VLSI 2008: 101-106
288EEHamed Abrishami, Safar Hatami, Behnam Amelifard, Massoud Pedram: NBTI-aware flip-flop characterization and design. ACM Great Lakes Symposium on VLSI 2008: 29-34
287EEKimish Patel, Wonbok Lee, Massoud Pedram: In-order pulsed charge recycling in off-chip data buses. ACM Great Lakes Symposium on VLSI 2008: 371-374
286EEHwisung Jung, Massoud Pedram: A stochastic local hot spot alerting technique. ASP-DAC 2008: 468-473
285EEHwisung Jung, Peng Rong, Massoud Pedram: Stochastic modeling of a thermally-managed multi-core system. DAC 2008: 728-733
284EEHwisung Jung, Massoud Pedram: Resilient Dynamic Power Management under Uncertainty. DATE 2008: 224-229
283EEEhsan Pakbaznia, Massoud Pedram: Coarse-Grain MTCMOS Sleep Transistor Sizing Using Delay Budgeting. DATE 2008: 385-390
282EEBehnam Amelifard, Safar Hatami, Hanif Fatemi, Massoud Pedram: A Current Source Model for CMOS Logic Cells Considering Multiple Input Switching and Stack Effect. DATE 2008: 568-573
281EEMohammad Ghasemazar, Massoud Pedram: Minimizing the energy cost of throughput in a linear pipeline by opportunistic time borrowing. ICCAD 2008: 155-160
280EEHamed Abrishami, Safar Hatami, Massoud Pedram: Characterization and design of sequential circuit elements to combat soft error. ICCD 2008: 194-199
279EENasir Mohyuddin, Ehsan Pakbaznia, Massoud Pedram: Probabilistic error propagation in logic circuits using the Boolean difference calculus. ICCD 2008: 7-13
278EEMohammad Ghasemazar, Behnam Amelifard, Massoud Pedram: A mathematical solution to power optimal pipeline design by utilizing soft edge flip-flops. ISLPED 2008: 33-38
277EEHwisung Jung, Massoud Pedram: Improving the Efficiency of Power Management Techniques by Using Bayesian Classification. ISQED 2008: 178-183
276EEHwisung Jung, Massoud Pedram: Continuous Frequency Adjustment Technique Based on Dynamic Workload Prediction. VLSI Design 2008: 249-254
275EESomayyeh Koohi, Mohammad Mirza-Aghatabar, Shaahin Hessabi, Massoud Pedram: High-Level Modeling Approach for Analyzing the Effects of Traffic Models on Power and Throughput in Mesh-Based NoCs. VLSI Design 2008: 415-420
274EEMaryam Soltan, Inkwon Hwang, Massoud Pedram: Heterogeneous modulation for trading-off energy balancing with bandwidth efficiency in hierarchical sensor networks. WOWMOM 2008: 1-5
273EEAli Abbasian, Safar Hatami, Ali Afzali-Kusha, Massoud Pedram: Wavelet-based dynamic power management for nonstationary service requests. ACM Trans. Design Autom. Electr. Syst. 13(1): (2008)
272EEMassoud Pedram: Editorial. ACM Trans. Design Autom. Electr. Syst. 13(4): (2008)
271EEWonbok Lee, Kimish Patel, Massoud Pedram: GOP-Level Dynamic Thermal Management in MPEG-2 Decoding. IEEE Trans. VLSI Syst. 16(6): 662-672 (2008)
270EEBehnam Amelifard, Farzan Fallah, Massoud Pedram: Leakage Minimization of SRAM Cells in a Dual-Vt and Dual-Tox Technology. IEEE Trans. VLSI Syst. 16(7): 851-860 (2008)
269EEEhsan Pakbaznia, Farzan Fallah, Massoud Pedram: Charge Recycling in Power-Gated CMOS Circuits. IEEE Trans. on CAD of Integrated Circuits and Systems 27(10): 1798-1811 (2008)
268EEAfshin Abdollahi, Massoud Pedram: Symmetry Detection and Boolean Matching Utilizing a Signature-Based Canonical Form of Boolean Functions. IEEE Trans. on CAD of Integrated Circuits and Systems 27(6): 1128-1137 (2008)
2007
267EEKimish Patel, Wonbok Lee, Massoud Pedram: Active bank switching for temperature control of the register file in a microprocessor. ACM Great Lakes Symposium on VLSI 2007: 231-234
266EEChanseok Hwang, Peng Rong, Massoud Pedram: Sleep transistor distribution in row-based MTCMOS designs. ACM Great Lakes Symposium on VLSI 2007: 235-240
265EEHwisung Jung, Andy Hwang, Massoud Pedram: Flow-Through-Queue based Power Management for Gigabit Ethernet Controller. ASP-DAC 2007: 571-576
264EEHanif Fatemi, Shahin Nazarian, Massoud Pedram: A Current-based Method for Short Circuit Power Calculation under Noisy Input Waveforms. ASP-DAC 2007: 774-779
263EEBehnam Amelifard, Massoud Pedram: Optimal Selection of Voltage Regulator Modules in a Power Delivery Network. DAC 2007: 168-173
262EEHwisung Jung, Massoud Pedram: Dynamic power management under uncertain information. DATE 2007: 1060-1065
261EEMohammad Mirza-Aghatabar, Somayyeh Koohi, Shaahin Hessabi, Massoud Pedram: An Empirical Investigation of Mesh and Torus NoC Topologies Under Different Routing Algorithms and Traffic Models. DSD 2007: 19-26
260EEEhsan Pakbaznia, Farzan Fallah, Massoud Pedram: Sizing and placement of charge recycling transistors in MTCMOS circuits. ICCAD 2007: 791-796
259EEKimish Patel, Wonbok Lee, Massoud Pedram: Minimizing power dissipation during write operation to register files. ISLPED 2007: 183-188
258EEBehnam Amelifard, Massoud Pedram: Design of an efficient power delivery network in an soc to enable dynamic power management. ISLPED 2007: 328-333
257EEHanif Fatemi, Behnam Amelifard, Massoud Pedram: Power optimal MTCMOS repeater insertion for global buses. ISLPED 2007: 98-103
256EEHwisung Jung, Massoud Pedram: A Unified Framework for System-Level Design: Modeling and Performance Optimization of Scalable Networking Systems. ISQED 2007: 198-203
255EESung Kyu Lim, Massoud Pedram: Introduction to special issue on demonstrable software systems and hardware platforms. ACM Trans. Design Autom. Electr. Syst. 12(3): (2007)
254EEShahin Nazarian, Massoud Pedram, Emre Tuncer, Tao Lin, Amir H. Ajami: Modeling and Propagation of Noisy Waveforms in Static Timing Analysis CoRR abs/0710.4642: (2007)
253EEAli Iranli, Hanif Fatemi, Massoud Pedram: HEBS: Histogram Equalization for Backlight Scaling CoRR abs/0710.4710: (2007)
252EER. Iris Bahar, Dan W. Hammerstrom, Justin E. Harlow III, William H. Joyner Jr., Clifford Lau, Diana Marculescu, Alex Orailoglu, Massoud Pedram: Architectures for Silicon Nanoelectronics and Beyond. IEEE Computer 40(1): 25-33 (2007)
251EEAfshin Abdollahi, Farzan Fallah, Massoud Pedram: A Robust Power Gating Structure and Power Mode Transition Strategy for MTCMOS Design. IEEE Trans. VLSI Syst. 15(1): 80-89 (2007)
250EESoroush Abbaspour, Hanif Fatemi, Massoud Pedram: Parameterized Non-Gaussian Variational Gate Timing Analysis. IEEE Trans. on CAD of Integrated Circuits and Systems 26(8): 1495-1508 (2007)
249EEChang Woo Kang, Ali Iranli, Massoud Pedram: A Synthesis Approach for Coarse-Grained Antifuse-Based FPGAs. IEEE Trans. on CAD of Integrated Circuits and Systems 26(9): 1564-1575 (2007)
2006
248EEHanif Fatemi, Soroush Abbaspour, Massoud Pedram, Amir H. Ajami, Emre Tuncer: SACI: statistical static timing analysis of coupled interconnects. ACM Great Lakes Symposium on VLSI 2006: 241-246
247EEShahin Nazarian, Ali Iranli, Massoud Pedram: Crosstalk analysis in nanometer technologies. ACM Great Lakes Symposium on VLSI 2006: 253-258
246EEChang Woo Kang, Massoud Pedram: Low-power clustering with minimum logic replication for coarse-grained, antifuse based FPGAs. ACM Great Lakes Symposium on VLSI 2006: 79-84
245EEChanseok Hwang, Massoud Pedram: Timing-driven placement based on monotone cell ordering constraints. ASP-DAC 2006: 201-206
244EEPeng Rong, Massoud Pedram: Power-aware scheduling and dynamic voltage setting for tasks running on a hard real-time system. ASP-DAC 2006: 473-478
243EEShahin Nazarian, Massoud Pedram, Tao Lin, Emre Tuncer: CGTA: current gain-based timing analysis for logic cells. ASP-DAC 2006: 67-72
242EESoroush Abbaspour, Hanif Fatemi, Massoud Pedram: Parameterized block-based non-gaussian statistical gate timing analysis. ASP-DAC 2006: 947-952
241EEWonbok Lee, Kimish Patel, Massoud Pedram: B2Sim: : a fast micro-architecture simulator based on basic block characterization. CODES+ISSS 2006: 199-204
240EEHanif Fatemi, Shahin Nazarian, Massoud Pedram: Statistical logic cell delay analysis using a current-based model. DAC 2006: 253-256
239EEAli Iranli, Wonbok Lee, Massoud Pedram: Backlight dimming in power-aware mobile displays. DAC 2006: 604-607
238EEEhsan Pakbaznia, Farzan Fallah, Massoud Pedram: Charge recycling in MTCMOS circuits: concept and analysis. DAC 2006: 97-102
237EEPeng Rong, Massoud Pedram: Determining the optimal timeout values for a power-managed system based on the theory of Markovian processes: offline and online algorithms. DATE 2006: 1128-1133
236EEEnrico Macii, Massoud Pedram, Dirk Friebel, Robert C. Aitken, Antun Domic, Roberto Zafalon: Low-power design tools: are EDA vendors taking this matter seriously? DATE 2006: 1227
235EEAfshin Abdollahi, Massoud Pedram: Analysis and synthesis of quantum circuits by using quantum decision diagrams. DATE 2006: 317-322
234EESoroush Abbaspour, Hanif Fatemi, Massoud Pedram: Non-gaussian statistical interconnect timing analysis. DATE 2006: 533-538
233EEShahin Nazarian, Massoud Pedram: Cell delay analysis based on rate-of-current change. DATE 2006: 539-544
232EEBehnam Amelifard, Farzan Fallah, Massoud Pedram: Reducing the sub-threshold and gate-tunneling leakage of SRAM cells using Dual-Vt and Dual-Tox assignment. DATE 2006: 995-1000
231EEShahin Nazarian, Massoud Pedram, Sandeep K. Gupta, Melvin A. Breuer: STAX: statistical crosstalk target set compaction. DATE Designers' Forum 2006: 172-177
230EEMehrdad Najibi, M. Salehi, Ali Afzali-Kusha, Massoud Pedram, Seid Mehdi Fakhraie, Hossein Pedram: Dynamic voltage and frequency management based on variable update intervals for frequency setting. ICCAD 2006: 755-760
229EEHwisung Jung, Massoud Pedram: Stochastic Dynamic Thermal Management: A Markovian Decision-based Approach. ICCD 2006
228EEWonbok Lee, Kimish Patel, Massoud Pedram: Dynamic thermal management for MPEG-2 decoding. ISLPED 2006: 316-321
227EEBehnam Amelifard, Farzan Fallah, Massoud Pedram: Low-power fanout optimization using MTCMOS and multi-Vt techniques. ISLPED 2006: 334-337
226EEBehnam Amelifard, Massoud Pedram, Farzan Fallah: Low-leakage SRAM Design with Dual V_t Transistors. ISQED 2006: 729-734
225EEChanseok Hwang, Chang Woo Kang, Massoud Pedram: Gate Sizing and Replication to Minimize the Effects of Virtual Ground Parasitic Resistances in MTCMOS Designs. ISQED 2006: 741-746
224EEMassoud Pedram: Introduction to special issue: Novel paradigms in system-level design. ACM Trans. Design Autom. Electr. Syst. 11(3): 535-536 (2006)
223EEAli Iranli, Wonbok Lee, Massoud Pedram: HVS-Aware Dynamic Backlight Scaling in TFT-LCDs. IEEE Trans. VLSI Syst. 14(10): 1103-1116 (2006)
222EESoroush Abbaspour, Massoud Pedram, Amir H. Ajami, Chandramouli V. Kashyap: Fast Interconnect and Gate Timing Analysis for Performance Optimization. IEEE Trans. VLSI Syst. 14(12): 1383-1388 (2006)
221EEPeng Rong, Massoud Pedram: An Analytical Model for Predicting the Remaining Battery Capacity of Lithium-Ion Batteries. IEEE Trans. VLSI Syst. 14(5): 441-451 (2006)
220EEAli Iranli, Massoud Pedram: Cycle-Based Decomposition of Markov Chains With Applications to Low-Power Synthesis and Sequence Compaction for Finite State Machines. IEEE Trans. on CAD of Integrated Circuits and Systems 25(12): 2712-2725 (2006)
219EEPeng Rong, Massoud Pedram: Battery-aware power management based on Markovian decision processes. IEEE Trans. on CAD of Integrated Circuits and Systems 25(7): 1337-1349 (2006)
2005
218EEShahin Nazarian, Massoud Pedram, Emre Tuncer: An empirical study of crosstalk in VDSM technologies. ACM Great Lakes Symposium on VLSI 2005: 317-322
217EESoroush Abbaspour, Hanif Fatemi, Massoud Pedram: VITA: variation-aware interconnect timing analysis for symmetric and skewed sources of variation considering variational ramp input. ACM Great Lakes Symposium on VLSI 2005: 426-430
216EEChanseok Hwang, Massoud Pedram: PMP: performance-driven multilevel partitioning by aggregating the preferred signal directions of I/O conduits. ASP-DAC 2005: 428-431
215EEChang Woo Kang, Massoud Pedram: Clustering techniques for coarse-grained, antifuse FPGAs. ASP-DAC 2005: 785-790
214EEAfshin Abdollahi, Farzan Fallah, Massoud Pedram: An effective power mode transition technique in MTCMOS circuits. DAC 2005: 37-42
213EEAfshin Abdollahi, Massoud Pedram: A new canonical form for fast boolean matching in logic synthesis and verification. DAC 2005: 379-384
212EEAli Iranli, Massoud Pedram: DTM: dynamic tone mapping for backlight scaling. DAC 2005: 612-617
211EEAli Iranli, Hanif Fatemi, Massoud Pedram: HEBS: Histogram Equalization for Backlight Scaling. DATE 2005: 346-351
210EEShahin Nazarian, Massoud Pedram, Emre Tuncer, Tao Lin, Amir H. Ajami: Modeling and Propagation of Noisy Waveforms in Static Timing Analysis. DATE 2005: 776-777
209EESoroush Abbaspour, Hanif Fatemi, Massoud Pedram: VGTA: Variation Aware Gate Timing Analysis. ICCD 2005: 351-356
208EEAli Iranli, Hanif Fatemi, Massoud Pedram: Lifetime-aware intrusion detection under safeguarding constraints. IPSN 2005: 189-194
207EEMorteza Maleki, Massoud Pedram: QoM and lifetime-constrained random deployment of sensor networks for minimum energy consumption. IPSN 2005: 293-300
206EEAli Iranli, Morteza Maleki, Massoud Pedram: Energy efficient strategies for deployment of a two-level wireless sensor network. ISLPED 2005: 233-238
205EEPeng Rong, Massoud Pedram: Hierarchical power management with application to scheduling. ISLPED 2005: 269-274
204EEBehnam Amelifard, Farzan Fallah, Massoud Pedram: Low-power fanout optimization using multiple threshold voltage inverters. ISLPED 2005: 95-98
203EEBehnam Amelifard, Farzan Fallah, Massoud Pedram: Closing the Gap between Carry Select Adder and Ripple Carry Adder: A New Class Closing the Gap between Carry Select Adder and Ripple Carry Adder: A New Class of Low-Power High-Performance Adders. ISQED 2005: 148-152
202EEShahin Nazarian, Massoud Pedram, Emre Tuncer, Tao Lin: Sensitivity-Based Gate Delay Propagation in Static Timing Analysis. ISQED 2005: 536-541
201EEAfshin Abdollahi, Farzan Fallah, Massoud Pedram: Analysis and Optimization of Static Power Considering Transition Dependency of Leakage Current in VLSI Circuits. ISQED 2005: 77-82
200EEKihwan Choi, Ramakrishna Soma, Massoud Pedram: Fine-grained dynamic voltage and frequency scaling for precise energy and performance tradeoff based on the ratio of off-chip access to on-chip computation times. IEEE Trans. on CAD of Integrated Circuits and Systems 24(1): 18-28 (2005)
199EEPayam Heydari, Massoud Pedram: Capacitive coupling noise in high-speed VLSI circuits. IEEE Trans. on CAD of Integrated Circuits and Systems 24(3): 478-488 (2005)
198EEAmir H. Ajami, Kaustav Banerjee, Massoud Pedram: Modeling and analysis of nonuniform substrate temperature effects on global ULSI interconnects. IEEE Trans. on CAD of Integrated Circuits and Systems 24(6): 849-861 (2005)
197EEFarzan Fallah, Massoud Pedram: Standby and Active Leakage Current Control and Minimization in CMOS VLSI Circuits. IEICE Transactions 88-C(4): 509-519 (2005)
196EEKihwan Choi, Wei-Chung Cheng, Massoud Pedram: Frame-Based Dynamic Voltage and Frequency Scaling for an MPEG Player. J. Low Power Electronics 1(1): 27-43 (2005)
195EEKihwan Choi, Kwanho Kim, Massoud Pedram: Energy-Aware MPEG-4 FGS Streaming. J. Low Power Electronics 1(1): 44-51 (2005)
194EEChang Woo Kang, Massoud Pedram: A Leakage-aware Low Power Technology Mapping Algorithm Considering the Hot-Carrier Effect. J. Low Power Electronics 1(2): 133-144 (2005)
2004
193EESoroush Abbaspour, Amir H. Ajami, Massoud Pedram, Emre Tuncer: TFA: a threshold-based filtering algorithm for propagation delay and slew calculation of high-speed VLSI interconnects. ACM Great Lakes Symposium on VLSI 2004: 19-24
192EEChang Woo Kang, Ali Iranli, Massoud Pedram: Technology mapping and packing for coarse-grained, anti-fuse based FPGAs. ASP-DAC 2004: 209-211
191EEChanseok Hwang, Massoud Pedram: Interconnect design methods for memory design. ASP-DAC 2004: 438-443
190EEHojun Shim, Naehyuck Chang, Massoud Pedram: A compressed frame buffer to reduce display power consumption in mobile systems. ASP-DAC 2004: 818-823
189EESoroush Abbaspour, Massoud Pedram: Gate delay calculation considering the crosstalk capacitances. ASP-DAC 2004: 852-857
188EEKihwan Choi, Ramakrishna Soma, Massoud Pedram: Off-chip latency-driven dynamic voltage and frequency scaling for an MPEG decoding. DAC 2004: 544-549
187EERadu Marculescu, Massoud Pedram, Jörg Henkel: Distributed Multimedia System Design: A Holistic Perspective. DATE 2004: 1342-1349
186EEWei-Chung Cheng, Yu Hou, Massoud Pedram: Power Minimization in a Backlit TFT-LCD Display by Concurrent Brightness and Contrast Scaling. DATE 2004: 252-259
185EEKihwan Choi, Ramakrishna Soma, Massoud Pedram: Fine-Grained Dynamic Voltage and Frequency Scaling for Precise Energy and Performance Trade-Off Based on the Ratio of Off-Chip Access to On-Chip Computation Times. DATE 2004: 4-9
184EEAli Iranli, Kihwan Choi, Massoud Pedram: A Game Theoretic Approach to Low Energy Wireless Video Streaming. DATE 2004: 696-697
183EEKihwan Choi, Wonbok Lee, Ramakrishna Soma, Massoud Pedram: Dynamic voltage and frequency scaling under a precise energy model considering variable and fixed components of the system power dissipation. ICCAD 2004: 29-34
182EEKihwan Choi, Ramakrishna Soma, Massoud Pedram: Dynamic voltage and frequency scaling based on workload decomposition. ISLPED 2004: 174-179
181EEHojun Shim, Naehyuck Chang, Massoud Pedram: A Backlight Power Management Framework for Battery-Operated Multimedia Systems. IEEE Design & Test of Computers 21(5): 388-396 (2004)
180 Afshin Abdollahi, Farzan Fallah, Massoud Pedram: Leakage current reduction in CMOS VLSI circuits by input vector control. IEEE Trans. VLSI Syst. 12(2): 140-154 (2004)
179EEYazdan Aghaghiri, Farzan Fallah, Massoud Pedram: Transition reduction in memory buses using sector-based encoding techniques. IEEE Trans. on CAD of Integrated Circuits and Systems 23(8): 1164-1174 (2004)
2003
178 Massoud Pedram, Charles J. Alpert: Proceedings of the 2003 International Symposium on Physical Design, ISPD 2003, Monterey, CA, USA, April 6-9, 2003 ACM 2003
177EEChang Woo Kang, Soroush Abbaspour, Massoud Pedram: Buffer sizing for minimum energy-delay product by using an approximating polynomial. ACM Great Lakes Symposium on VLSI 2003: 112-115
176EEPeng Rong, Massoud Pedram: Extending the lifetime of a network of battery-powered mobile devices by remote processing: a markovian decision-based approach. DAC 2003: 906-911
175EEKihwan Choi, Kwanho Kim, Massoud Pedram: Energy-aware MPEG-4 FGS streaming. DAC 2003: 912-915
174EEWei-Chung Cheng, Massoud Pedram: Chromatic Encoding: A Low Power Encoding Technique for Digital Visual Interface. DATE 2003: 10694-10699
173EEPeng Rong, Massoud Pedram: An Analytical Model for Predicting the Remaining Battery Capacity of Lithium-Ion Batteries. DATE 2003: 11148-11149
172 Ali Iranli, Kihwan Choi, Massoud Pedram: Energy-Aware Wireless Video Streaming. ESTImedia 2003: 48-55
171EEAli Iranli, Hanif Fatemi, Massoud Pedram: A Game Theoretic Approach to Dynamic Energy Minimization in Wireless Transceivers. ICCAD 2003: 504-509
170EEFarhad Ghasemi-Tari, Peng Rong, Massoud Pedram: An Energy-Aware Simulation Model and Transaction Protocol for Dynamic Workload Distribution in Mobile Ad Hoc Networks. ICCD 2003: 444-
169EEAfshin Abdollahi, Massoud Pedram, Farzan Fallah, Indradeep Ghosh: Precomputation-based Guarding for Dynamic and Leakage Power Reduction. ICCD 2003: 90-97
168EESoroush Abbaspour, Massoud Pedram, Payam Heydari: Optimizing the Energy-Delay-Ringing Product in On-Chip CMOS Line Drivers. ISQED 2003: 261-266
167EEAfshin Abdollahi, Farzan Fallah, Massoud Pedram: Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains. ISQED 2003: 49-54
166EEPayam Heydari, Massoud Pedram: Ground bounce in digital VLSI circuits. IEEE Trans. VLSI Syst. 11(2): 180-193 (2003)
165EEPeyman Rezvani, Massoud Pedram: A fanout optimization algorithm based on the effort delay model. IEEE Trans. on CAD of Integrated Circuits and Systems 22(12): 1671-1678 (2003)
2002
164EEPeyman Rezvani, Massoud Pedram: Concurrent and Selective Logic Extraction with Timing Consideration. DATE 2002: 1086
163EEYazdan Aghaghiri, Massoud Pedram, Farzan Fallah: EZ Encoding: A Class of Irredundant Low Power Codes for Data Address and Multiplexed Address Buses. DATE 2002: 1102
162EEPeng Rong, Massoud Pedram: Battery-aware power management based on Markovian decision processes. ICCAD 2002: 707-713
161EEKihwan Choi, Karthik Dantu, Wei-Chung Cheng, Massoud Pedram: Frame-based dynamic voltage and frequency scaling for a MPEG decoder. ICCAD 2002: 732-737
160EEYazdan Aghaghiri, Massoud Pedram, Farzan Fallah: Reducing transitions on memory buses using sector-based encoding technique. ISLPED 2002: 190-195
159EEAfshin Abdollahi, Massoud Pedram, Farzan Fallah: Runtime mechanisms for leakage current reduction in CMOS VLSI circuits1, 2. ISLPED 2002: 213-218
158EEMorteza Maleki, Karthik Dantu, Massoud Pedram: Power-aware source routing protocol for mobile ad hoc networks. ISLPED 2002: 72-75
157EEYazdan Aghaghiri, Farzan Fallah, Massoud Pedram: ALBORZ: Address Level Bus Power Optimization. ISQED 2002: 470-
156 Chang Woo Kang, Massoud Pedram: Technology Mapping for Low Leakage Power with Hot-Carrier Effect Consideration. IWLS 2002: 295-300
155EEWei-Chung Cheng, Jian-Lin Liang, Massoud Pedram: Software-Only Bus Encoding Techniques for an Embedded System. VLSI Design 2002: 126-131
154EEPayam Heydari, Massoud Pedram: Interconnect Energy Dissipation in High-Speed ULSI Circuits. VLSI Design 2002: 132-
153EEWei Chen, Massoud Pedram, Premal Buch: Buffered Routing Tree Construction under Buffer Placement Blockages. VLSI Design 2002: 381-386
152EEWei-Chung Cheng, Massoud Pedram: Power-optimal encoding for a DRAM address bus. IEEE Trans. VLSI Syst. 10(2): 109-118 (2002)
151EEMassoud Pedram, Qing Wu: Battery-powered digital CMOS design. IEEE Trans. VLSI Syst. 10(5): 601-607 (2002)
150EECheng-Ta Hsieh, Massoud Pedram: Architectural energy optimization by bus splitting. IEEE Trans. on CAD of Integrated Circuits and Systems 21(4): 408-414 (2002)
149EEAmir H. Salek, Jinan Lou, Massoud Pedram: Hierarchical buffered routing tree generation. IEEE Trans. on CAD of Integrated Circuits and Systems 21(5): 554-567 (2002)
148EEWei-Chung Cheng, Massoud Pedram: Power-Aware Bus Encoding Techniques for I/O and Data Buses in an Embedded System. Journal of Circuits, Systems, and Computers 11(4): 351-364 (2002)
147EEYazdan Aghaghiri, Farzan Fallah, Massoud Pedram: A Class of Irredundant Encoding Techniques for Reducing Bus Power. Journal of Circuits, Systems, and Computers 11(5): 445-458 (2002)
2001
146EEPayam Heydari, Massoud Pedram: Balanced truncation with spectral shaping for RLC interconnects. ASP-DAC 2001: 203-208
145EEMassoud Pedram: Power optimization and management in embedded systems. ASP-DAC 2001: 239-244
144EEWei-Chung Cheng, Massoud Pedram: Low power techniques for address encoding and memory allocation. ASP-DAC 2001: 245-250
143EEAmir H. Ajami, Massoud Pedram: Post-layout timing-driven cell placement using an accurate net length model with movable Steiner points. ASP-DAC 2001: 595-600
142EEAmir H. Ajami, Kaustav Banerjee, Massoud Pedram, Lukas P. P. P. van Ginneken: Analysis of Non-Uniform Temperature-Dependent Interconnect Performance in High Performance ICs. DAC 2001: 567-572
141EEQinru Qiu, Qing Wu, Massoud Pedram: Dynamic Power Management in a Mobile Multimedia System with Guaranteed Quality-of-Service. DAC 2001: 834-839
140EECheng-Ta Hsieh, L. Chen, Massoud Pedram: Microprocessor power analysis by labeled simulation. DATE 2001: 182-189
139EEAmir H. Ajami, Kaustav Banerjee, Massoud Pedram: Analysis of Substrate Thermal Gradient Effects on Optimal Buffer Insertion. ICCAD 2001: 44-48
138EEPayam Heydari, Massoud Pedram: Model Reduction of Variable-Geometry Interconnects using Variational Spectrally-Weighted Balanced Truncation. ICCAD 2001: 586-591
137 Payam Heydari, Massoud Pedram: Analysis and Reduction of Capacitive Coupling Noise in High-Speed VLSI Circuits. ICCD 2001: 104-109
136 Payam Heydari, Massoud Pedram: Jitter-Induced Power/ground Noise in CMOS PLLs: A Design Perspective. ICCD 2001: 209-213
135EEYazdan Aghaghiri, Farzan Fallah, Massoud Pedram: Irredundant address bus encoding for low power. ISLPED 2001: 182-187
134EEKaustav Banerjee, Massoud Pedram, Amir H. Ajami: Analysis and optimization of thermal issues in high-performance VLSI. ISPD 2001: 230-237
133EEWei-Chung Cheng, Massoud Pedram: Memory Bus Encoding for Low Power: A Tutorial. ISQED 2001: 199-204
132EEHirendu Vaishnav, Massoud Pedram: Alphabetic trees-theory and applications in layout-driven logicsynthesis. IEEE Trans. on CAD of Integrated Circuits and Systems 20(1): 58-69 (2001)
131EEQinru Qiu, Q. Qu, Massoud Pedram: Stochastic modeling of a power-managed system-construction andoptimization. IEEE Trans. on CAD of Integrated Circuits and Systems 20(10): 1200-1217 (2001)
130EEJaewon Oh, Massoud Pedram: Gated clock routing for low-power microprocessor design. IEEE Trans. on CAD of Integrated Circuits and Systems 20(6): 715-722 (2001)
129EEQing Wu, Qinru Qiu, Massoud Pedram: Estimation of peak power dissipation in VLSI circuits using thelimiting distributions of extreme order statistics. IEEE Trans. on CAD of Integrated Circuits and Systems 20(8): 942-956 (2001)
2000
128EEMassoud Pedram, Xunwei Wu: Analysis of power-clocked CMOS with application to the design of energy-recovery circuits. ASP-DAC 2000: 339-344
127EEXunwei Wu, Jian Wei, Massoud Pedram, Qing Wu: Low-power design of sequential circuits using a quasi-synchronous derived clock. ASP-DAC 2000: 345-350
126EEQing Wu, Qinru Qiu, Massoud Pedram: An interleaved dual-battery power supply for battery-operated electronics. ASP-DAC 2000: 387-390
125EEQinru Qiu, Qing Wu, Massoud Pedram: Dynamic power management of complex systems using generalized stochastic Petri nets. DAC 2000: 352-356
124EEShih-Lian T. Ou, Massoud Pedram: Timing-driven placement based on partitioning with dynamic cut-net control. DAC 2000: 472-476
123EECheng-Ta Hsieh, Massoud Pedram: Architectural Power Optimization by Bus Splitting. DATE 2000: 612-611
122 Wei Chen, Cheng-Ta Hsieh, Massoud Pedram: Simultaneous Gate Sizing and Fanout Optimization. ICCAD 2000: 374-378
121EEPayam Heydari, Massoud Pedram: Analysis and Optimization of Ground Bounce in Digital CMOS Circuits. ICCD 2000: 121-126
120EEXunwei Wu, Massoud Pedram: Low power sequential circuit design by using priority encoding and clock gating. ISLPED 2000: 143-148
119EEWei-Chung Cheng, Massoud Pedram: Power-optimal encoding for DRAM address bus (poster session). ISLPED 2000: 250-252
118EEXunwei Wu, Massoud Pedram: Propagation Algorithm of Behavior Probability in Power Estimation Based on Multiple-Valued Logic. ISMVL 2000: 453-459
117EEDiana Marculescu, Radu Marculescu, Massoud Pedram: Stochastic sequential machine synthesis with application to constrained sequence generation. ACM Trans. Design Autom. Electr. Syst. 5(3): 658-681 (2000)
116EEDiana Marculescu, Radu Marculescu, Massoud Pedram: Theoretical bounds for switching activity analysis in finite-state machines. IEEE Trans. VLSI Syst. 8(3): 335-339 (2000)
115EEChih-Shun Ding, Cheng-Ta Hsieh, Massoud Pedram: Improving the efficiency of Monte Carlo power estimation [VLSI]. IEEE Trans. VLSI Syst. 8(5): 584-593 (2000)
114EERaul Camposano, Massoud Pedram: Electronic design automation at the turn of the century: accomplishments and vision of the future. IEEE Trans. on CAD of Integrated Circuits and Systems 19(12): 1401-1403 (2000)
113EEWei Chen, Cheng-Ta Hsieh, Massoud Pedram: Simultaneous gate sizing and placement. IEEE Trans. on CAD of Integrated Circuits and Systems 19(2): 206-214 (2000)
112EEPasquale Cocchini, Massoud Pedram: Fanout optimization using bipolar LT-trees. IEEE Trans. on CAD of Integrated Circuits and Systems 19(3): 339-349 (2000)
111EEJui-Ming Chang, Massoud Pedram: Codex-dp: co-design of communicating systems using dynamicprogramming. IEEE Trans. on CAD of Integrated Circuits and Systems 19(7): 732-744 (2000)
1999
110EEShihliang Ou, Massoud Pedram: Timing-Driven Bipartitioning with Replication Using Iterative Quadratic Programming. ASP-DAC 1999: 105-108
109EEMassoud Pedram, Chi-Ying Tsui, Qing Wu: An Integrated Battery-Hardware Model for Portable Electronics. ASP-DAC 1999: 109-
108EEPayam Rabiei, Massoud Pedram: Model Order Reduction of Large Circuits Using Balanced Truncation. ASP-DAC 1999: 237-
107EEAmir H. Salek, Jinan Lou, Massoud Pedram: MERLIN: Semi-Order-Independent Hierarchical Buffered Routing Tree Generation Using Local Neighborhood Search. DAC 1999: 472-478
106EEQinru Qiu, Massoud Pedram: Dynamic Power Management Based on Continuous-Time Markov Decision Processes. DAC 1999: 555-561
105EEMassoud Pedram, Qing Wu: Design Considerations for Battery-Powered Electronics. DAC 1999: 861-866
104EEJui-Ming Chang, Massoud Pedram: Codex-dp: Co-design of Communicating Systems Using Dynamic Programming. DATE 1999: 568-
103EEMassoud Pedram, Qing Wu: Battery-Powered Digital CMOS Design. DATE 1999: 72-76
102EEJinan Lou, Wei Chen, Massoud Pedram: Concurrent logic restructuring and placement for timing closure. ICCAD 1999: 31-36
101EEPeyman Rezvani, Amir H. Ajami, Massoud Pedram, Hamid Savoj: LEOPARD: a Logical Effort-based fanout OPtimizer for ARea and Delay. ICCAD 1999: 516-519
100EERadu Marculescu, Diana Marculescu, Massoud Pedram: Non-stationary effects in trace-driven power analysis. ISLPED 1999: 133-138
99EEQinru Qiu, Qing Wu, Massoud Pedram: Stochastic modeling of a power-managed system: construction and optimization. ISLPED 1999: 194-199
98EEWei Chen, Cheng-Ta Hsieh, Massoud Pedram: Gate sizing with controlled displacement. ISPD 1999: 127-132
97EEMassoud Pedram, Bryan Preas: Interconnection analysis for standard cell layouts. IEEE Trans. on CAD of Integrated Circuits and Systems 18(10): 1512-1519 (1999)
96EEHirendu Vaishnav, Massoud Pedram: Delay-optimal clustering targeting low-power VLSI circuits. IEEE Trans. on CAD of Integrated Circuits and Systems 18(6): 799-812 (1999)
95EERadu Marculescu, Diana Marculescu, Massoud Pedram: Sequence compaction for power estimation: theory and practice. IEEE Trans. on CAD of Integrated Circuits and Systems 18(7): 973-993 (1999)
94EEAmir H. Salek, Jinan Lou, Massoud Pedram: An integrated logical and physical design flow for deep submicron circuits. IEEE Trans. on CAD of Integrated Circuits and Systems 18(9): 1305-1315 (1999)
1998
93 Massoud Pedram: Logical-Physical Co-design for Deep Submicron Circuits: Challenges and Solutions (Embedded Tutorial). ASP-DAC 1998: 137-142
92 Jinan Lou, Amir H. Salek, Massoud Pedram: An Integrated Flow for Technology Remapping and Placement of Sub-half-micron Circuits. ASP-DAC 1998: 295-300
91 Jaewon Oh, Massoud Pedram: Power Reduction in Microprocessor Chips by Gated Clock Routing. ASP-DAC 1998: 313-318
90 Massoud Pedram, Qing Wu, Xunwei Wu: A New Design for Double Edge Triggered Flip-flops. ASP-DAC 1998: 417-421
89EEAmir H. Salek, Jinan Lou, Massoud Pedram: A DSM Design Flow: Putting Floorplanning, Technology-Napping, and Gate-Placement Together. DAC 1998: 128-134
88EEJaewon Oh, Massoud Pedram: Multi-Pad Power/Ground Network Design for Uniform Distribution of Ground Bounce. DAC 1998: 287-290
87EEQinru Qiu, Qing Wu, Massoud Pedram: Maximum Power Estimation Using the Limiting Distributions of Extreme Order Statistics. DAC 1998: 684-689
86EEJaewon Oh, Massoud Pedram: Gated Clock Routing Minimizing the Switched Capacitance. DATE 1998: 692-697
85EEDiana Marculescu, Radu Marculescu, Massoud Pedram: Trace-Driven Steady-State Probability Estimation in FSMs with Application to Power Estimation. DATE 1998: 774-
84EEPasquale Cocchini, Massoud Pedram, Gianluca Piccinini, Maurizio Zamboni: Fanout optimization under a submicron transistor-level delay model. ICCAD 1998: 551-556
83EEAmir H. Salek, Jinan Lou, Massoud Pedram: A simultaneous routing tree construction and fanout optimization algorithm. ICCAD 1998: 625-630
82EEChih-Shun Ding, Cheng-Ta Hsieh, Massoud Pedram: Improving sampling efficiency for system level power estimation. ISLPED 1998: 115-117
81EEDiana Marculescu, Radu Marculescu, Massoud Pedram: Theoretical bounds for switching activity analysis in finite-state machines. ISLPED 1998: 36-41
80EEPayam Heydari, Massoud Pedram: Calculation of ramp response of lossy transmission lines using two-port network functions. ISPD 1998: 152-157
79EEQing Wu, Qinru Qiu, Massoud Pedram, Chih-Shun Ding: Cycle-accurate macro-models for RT-level power analysis. IEEE Trans. VLSI Syst. 6(4): 520-528 (1998)
78EEEnrico Macii, Massoud Pedram, Fabio Somenzi: High-level power modeling, estimation, and optimization. IEEE Trans. on CAD of Integrated Circuits and Systems 17(11): 1061-1079 (1998)
77EECheng-Ta Hsieh, Massoud Pedram: Microprocessor power estimation using profile-driven program synthesis. IEEE Trans. on CAD of Integrated Circuits and Systems 17(11): 1080-1089 (1998)
76EEChih-Shun Ding, Chi-Ying Tsui, Massoud Pedram: Gate-level power estimation using tagged probabilistic simulation. IEEE Trans. on CAD of Integrated Circuits and Systems 17(11): 1099-1107 (1998)
75EEChi-Ying Tsui, Massoud Pedram, Alvin M. Despain: Low-power state assignment targeting two- and multilevel logic implementations. IEEE Trans. on CAD of Integrated Circuits and Systems 17(12): 1281-1291 (1998)
74EERadu Marculescu, Diana Marculescu, Massoud Pedram: Probabilistic modeling of dependencies during switching activity analysis. IEEE Trans. on CAD of Integrated Circuits and Systems 17(2): 73-83 (1998)
73EEChih-Shun Ding, Qing Wu, Cheng-Ta Hsieh, Massoud Pedram: Stratified random sampling for power estimation. IEEE Trans. on CAD of Integrated Circuits and Systems 17(6): 465-471 (1998)
72EEChi-Ying Tsui, Massoud Pedram: Accurate and efficient power simulation strategy by compacting the input vector set. Integration 25(1): 37-52 (1998)
1997
71 Brock Barton, Massoud Pedram, Anantha Chandrakasan, Sayfe Kiaei: Proceedings of the 1997 International Symposium on Low Power Electronics and Design, 1997, Monterey, California, USA, August 18-20, 1997 ACM 1997
70EEDiana Marculescu, Radu Marculescu, Massoud Pedram: Sequence Compaction for Probabilistic Analysis of Finite-State Machines. DAC 1997: 12-15
69EEChih-Shun Ding, Qing Wu, Cheng-Ta Hsieh, Massoud Pedram: Statistical Estimation of the Cumulative Distribution Function for Power Dissipation in VLSI Cirucits. DAC 1997: 371-376
68EEChi-Ying Tsui, Kai-Keung Chan, Qing Wu, Chih-Shun Ding, Massoud Pedram: A Power Estimation Framework for Designing Low Power Portable Video Applications. DAC 1997: 421-424
67EEEnrico Macii, Massoud Pedram, Fabio Somenzi: High-Level Power Modeling, Estimation, and Optimization. DAC 1997: 504-511
66EERadu Marculescu, Diana Marculescu, Massoud Pedram: Hierarchical Sequence Compaction for Power Estimation. DAC 1997: 570-575
65EECheng-Ta Hsieh, Massoud Pedram, Gaurav Mehta, Fred Rastgar: Profile-Driven Program Synthesis for Evaluation of System Power Dissipation. DAC 1997: 576-581
64EEJinan Lou, Amir H. Salek, Massoud Pedram: An exact solution to simultaneous technology mapping and linear placement problem. ICCAD 1997: 671-675
63 Rakesh Mehrotra, Massoud Pedram, Xunwei Wu: Comparison between nMos Pass Transistor logic style vs. CMOS Complementary Cells. ICCD 1997: 130-135
62 Hirendu Vaishnav, Chi-Keung Lee, Massoud Pedram: Post Layout Speed-up by Event Elimination. ICCD 1997: 211-216
61EEQinru Qiu, Qing Wu, Massoud Pedram, Chih-Shun Ding: Cycle-accurate macro-models for RT-level power analysis. ISLPED 1997: 125-130
60EERadu Marculescu, Diana Marculescu, Massoud Pedram: Composite sequence compaction for finite-state machines using block entropy and high-order Markov models. ISLPED 1997: 190-195
59EEXunwei Wu, Massoud Pedram: Design of Ternary CCD Circuits Referencing to Current-Mode CMOS Circuits. ISMVL 1997: 209-214
58 Paul Tafertshofer, Massoud Pedram: Factored Edge-Valued Binary Decision Diagrams. Formal Methods in System Design 10(2/3): 243-270 (1997)
57EEJui-Ming Chang, Massoud Pedram: Energy minimization using multiple supply voltages. IEEE Trans. VLSI Syst. 5(4): 436-443 (1997)
56EEJaewon Oh, Iksoo Pyo, Massoud Pedram: Constructing minimal spanning/Steiner trees with bounded path length. Integration 22(1-2): 137-163 (1997)
55EEShihming Liu, Massoud Pedram, Alvin M. Despain: State assignment based on two-dimensional placement and hypercube mapping. Integration 24(2): 101-118 (1997)
54EEMassoud Pedram, Hirendu Vaishnav: Power Optimization in VLSI Layout: A Survey. VLSI Signal Processing 15(3): 221-232 (1997)
1996
53 Mark Horowitz, Jan M. Rabaey, Brock Barton, Massoud Pedram: Proceedings of the 1996 International Symposium on Low Power Electronics and Design, 1996, Monterey, California, USA, August 12-14, 1996 IEEE 1996
52EEChi-Ying Tsui, Radu Marculescu, Diana Marculescu, Massoud Pedram: Improving the Efficiency of Power Simulators by Input Vector Compaction. DAC 1996: 165-168
51EESasan Iman, Massoud Pedram: POSE: Power Optimization and Synthesis Environment. DAC 1996: 21-26
50EEJaewon Oh, Iksoo Pyo, Massoud Pedram: Constructing Lower and Upper Bounded Delay Routing Trees Using Linear Programming. DAC 1996: 401-404
49EEDiana Marculescu, Radu Marculescu, Massoud Pedram: Stochastic Sequential Machine Synthesis Targeting Constrained Sequence Generation. DAC 1996: 696-701
48EEChih-Shun Ding, Cheng-Ta Hsieh, Qing Wu, Massoud Pedram: Stratified random sampling for power estimation. ICCAD 1996: 576-582
47EECheng-Ta Hsieh, Qing Wu, Chih-Shun Ding, Massoud Pedram: Statistical sampling and regression analysis for RT-level power evaluation. ICCAD 1996: 583-588
46EEJui-Ming Chang, Massoud Pedram: Energy minimization using multiple supply voltages. ISLPED 1996: 157-162
45EEMassoud Pedram: Power minimization in IC design: principles and applications. ACM Trans. Design Autom. Electr. Syst. 1(1): 3-56 (1996)
44 Yung-Te Lai, Massoud Pedram, Sarma B. K. Vrudhula: Formal Verification Using Edge-Valued Binary Decision Diagrams. IEEE Trans. Computers 45(2): 247-255 (1996)
43EEChi-Ying Tsui, José C. Monteiro, Massoud Pedram, Srinivas Devadas, Alvin M. Despain, Bill Lin: Correction to "Power Estimation Methods for Sequential Logic Circuits" [Correspondence]. IEEE Trans. VLSI Syst. 4(4): 495 (1996)
42EEDiana Marculescu, Radu Marculescu, Massoud Pedram: Information theoretic measures for power analysis [logic design]. IEEE Trans. on CAD of Integrated Circuits and Systems 15(6): 599-610 (1996)
41EESasan Iman, Massoud Pedram: An approach for multilevel logic optimization targeting low power. IEEE Trans. on CAD of Integrated Circuits and Systems 15(8): 889-901 (1996)
40EEYung-Te Lai, Kuo-Rueih Ricky Pan, Massoud Pedram: OBDD-based function decomposition: algorithms and implementation. IEEE Trans. on CAD of Integrated Circuits and Systems 15(8): 977-990 (1996)
39EEMassoud Pedram, Sasan Iman: Correction to "An Approach for Multilevel Logic Optimization Targeting Low Power". IEEE Trans. on CAD of Integrated Circuits and Systems 15(9): 1176 (1996)
1995
38 Massoud Pedram, Robert W. Brodersen, Kurt Keutzer: Proceedings of the 1995 International Symposium on Low Power Design 1995, Dana Point, California, USA, April 23-26, 1995 ACM 1995
37EESasan Iman, Massoud Pedram: Logic Extraction and Factorization for Low Power. DAC 1995: 248-253
36EEJui-Ming Chang, Massoud Pedram: Register Allocation and Binding for Low Power. DAC 1995: 29-35
35EEShihming Liu, Massoud Pedram, Alvin M. Despain: A Fast State Assignment Procedure for Large FSMs. DAC 1995: 327-332
34EERadu Marculescu, Diana Marculescu, Massoud Pedram: Efficient Power Estimation for Highly Correlated Input Streams. DAC 1995: 628-634
33EEHirendu Vaishnav, Massoud Pedram: Minimizing the Routing Cost During Logic Extraction. DAC 1995: 70-75
32EESasan Iman, Massoud Pedram: Two-level logic minimization for low power. ICCAD 1995: 433-438
31EEHirendu Vaishnav, Massoud Pedram: Delay optimal partitioning targeting low power VLSI circuits. ICCAD 1995: 638-643
30EEHirendu Vaishnav, Massoud Pedram: Logic extraction based on normalized netlengths. ICCD 1995: 658-663
29 Shihming Liu, Massoud Pedram, Alvin M. Despain: PLATO P: PLA Timing Optimization by Partitioning. ISCAS 1995: 1744-1747
28EEDiana Marculescu, Radu Marculescu, Massoud Pedram: Information theoretic measures of energy consumption at register transfer level. ISLPD 1995: 81-86
27EEChi-Ying Tsui, José C. Monteiro, Massoud Pedram, Srinivas Devadas, Alvin M. Despain, Bill Lin: Power estimation methods for sequential logic circuits. IEEE Trans. VLSI Syst. 3(3): 404-416 (1995)
26EEKamal Chaudhary, Massoud Pedram: Computing the area versus delay trade-off curves in technology mapping. IEEE Trans. on CAD of Integrated Circuits and Systems 14(12): 1480-1489 (1995)
1994
25EEChi-Ying Tsui, Massoud Pedram, Alvin M. Despain: Exact and Approximate Methods for Calculating Signal and Transition Probabilities in FSMs. DAC 1994: 18-23
24EESasan Iman, Massoud Pedram, Kamal Chaudhary: Technology Mapping Using Fuzzy Logic. DAC 1994: 333-338
23EERadu Marculescu, Diana Marculescu, Massoud Pedram: Switching activity analysis considering spatiotemporal correlations. ICCAD 1994: 294-299
22EESasan Iman, Massoud Pedram: Multi-level network optimization for low power. ICCAD 1994: 372-377
21EEChi-Ying Tsui, Massoud Pedram, Chih-Ang Chen, Alvin M. Despain: Low power state assignment targeting two-and multi-level logic implementations. ICCAD 1994: 82-87
20 Yung-Te Lai, Kuo-Rueih Ricky Pan, Massoud Pedram: FPGA Synthesis Using Function Decomposition. ICCD 1994: 30-35
19 Debaditya Mukherjee, Massoud Pedram, Melvin A. Breuer: Control Strategies for Chip-Based DFT/BIST Hardware. ITC 1994: 893-902
18EEMassoud Pedram, Bahman S. Nobandegani, Bryan Preas: Design and analysis of segmented routing channels for row-based FPGA's. IEEE Trans. on CAD of Integrated Circuits and Systems 13(12): 1470-1479 (1994)
17EEYung-Te Lai, Massoud Pedram, Sarma B. K. Vrudhula: EVBDD-based algorithms for integer linear programming, spectral transformation, and function decomposition. IEEE Trans. on CAD of Integrated Circuits and Systems 13(8): 959-975 (1994)
16EEChi-Ying Tsui, Massoud Pedram, Alvin M. Despain: Power efficient technology decomposition and mapping under an extended power consumption model. IEEE Trans. on CAD of Integrated Circuits and Systems 13(9): 1110-1122 (1994)
1993
15EEHirendu Vaishnav, Massoud Pedram: Routability-Driven Fanout Optimization. DAC 1993: 230-235
14EEYung-Te Lai, Massoud Pedram, Sarma B. K. Vrudhula: BDD Based Decomposition of Logic Functions with Application to FPGA Synthesis. DAC 1993: 642-647
13EEChi-Ying Tsui, Massoud Pedram, Alvin M. Despain: Technology Decomposition and Mapping Targeting Low Power Dissipation. DAC 1993: 68-73
12EEChi-Ying Tsui, Massoud Pedram, Alvin M. Despain: Efficient estimation of dynamic power consumption under a real delay model. ICCAD 1993: 224-228
11EEMassoud Pedram, Bahman S. Nobandegani, Bryan Preas: Architecture and routability analysis for row-based FPGAs. ICCAD 1993: 230-235
10EEYung-Te Lai, Massoud Pedram, Sarma B. K. Vrudhula: FGILP: an integer linear program solver based on function graphs. ICCAD 1993: 685-689
9EEDebaditya Mukherjee, Massoud Pedram, Melvin A. Breuer: Merging multiple FSM controllers for DFT/BIST hardware. ICCAD 1993: 720-725
1992
8EEKamal Chaudhary, Massoud Pedram: A Near Optimal Algorithm for Technology Mapping Minimizing Area under Delay Constraints. DAC 1992: 492-498
7 Yung-Te Lai, Sarma Sastry, Massoud Pedram: Boolean Matching Using Binary Decision Diagrams with Applications to Logic Synthesis and Verification. ICCD 1992: 452-458
1991
6EEMassoud Pedram, Narasimha B. Bhat: Layout Driven Technology Mapping. DAC 1991: 99-105
5 Massoud Pedram, Narasimha B. Bhat: Layout Driven Logic Restructuring/Decomposition. ICCAD 1991: 134-137
4 Massoud Pedram, Kamal Chaudhary, Ernest S. Kuh: I/O Pad Assignment Based on the Circuit Structure. ICCD 1991: 314-318
3 Stefan Mayrhofer, Massoud Pedram, Ulrich Lauther: A Flow-Oriented Approach to the Placement of Boolean Networks. VLSI 1991: 101-110
1990
2 Massoud Pedram, Malgorzata Marek-Sadowska, Ernest S. Kuh: Floorplanning with Pin Assignment. ICCAD 1990: 98-101
1989
1EEBryan Preas, Massoud Pedram, D. Curry: Automatic Layout of Silicon-on-Silicon Hybrid Packages. DAC 1989: 394-399

Coauthor Index

1Ali Abbasian [273]
2Soroush Abbaspour [168] [177] [189] [193] [209] [217] [222] [234] [242] [248] [250]
3Afshin Abdollahi [159] [167] [169] [180] [201] [213] [214] [235] [251] [268]
4Hamed Abrishami [280] [288] [289]
5Ali Afzali-Kusha [230] [273] [291]
6Yazdan Aghaghiri [135] [147] [157] [160] [163] [179]
7Robert C. Aitken [236]
8Amir H. Ajami [101] [134] [139] [142] [143] [193] [198] [210] [222] [248] [254]
9Charles J. Alpert [178]
10Behnam Amelifard [203] [204] [226] [227] [232] [257] [258] [263] [270] [278] [282] [288] [290]
11R. Iris Bahar [252]
12Kaustav Banerjee [134] [139] [142] [198]
13Brock Barton [53] [71]
14Narasimha B. Bhat [5] [6]
15Melvin A. Breuer [9] [19] [231]
16Robert W. Brodersen [38]
17Premal Buch [153]
18Raul Camposano [114]
19Kai-Keung Chan [68]
20Anantha Chandrakasan (Anantha P. Chandrakasan) [71]
21Jui-Ming Chang [36] [46] [57] [104] [111]
22Naehyuck Chang [181] [190]
23Kamal Chaudhary [4] [8] [24] [26]
24Chih-Ang Chen [21]
25L. Chen [140]
26Wei Chen [98] [102] [113] [122] [153]
27Wei-Chung Cheng [119] [133] [144] [148] [152] [155] [161] [174] [186] [196]
28Kihwan Choi [161] [172] [175] [182] [183] [184] [185] [188] [195] [196] [200]
29Pasquale Cocchini [84] [112]
30D. Curry [1]
31Masoud Daneshtalab [291]
32Karthik Dantu [158] [161]
33Alvin M. Despain [12] [13] [16] [21] [25] [27] [29] [35] [43] [55] [75]
34Srinivas Devadas [27] [43]
35Chih-Shun Ding [47] [48] [61] [68] [69] [73] [76] [79] [82] [115]
36Antun Domic [236]
37Seid Mehdi Fakhraie [230]
38Farzan Fallah [135] [147] [157] [159] [160] [163] [167] [169] [179] [180] [197] [201] [203] [204] [214] [226] [227] [232] [238] [251] [260] [269] [270] [290]
39Hanif Fatemi [171] [208] [209] [211] [217] [234] [240] [242] [248] [250] [253] [257] [264] [282]
40Dirk Friebel [236]
41Mohammad Ghasemazar [278] [281]
42Farhad Ghasemi-Tari [170]
43Indradeep Ghosh [169]
44Lukas P. P. P. van Ginneken [142]
45Sandeep K. Gupta [231]
46Dan W. Hammerstrom [252]
47Justin E. Harlow III [252]
48Safar Hatami [273] [280] [282] [288] [289]
49Jörg Henkel [187]
50Shaahin Hessabi [261] [275]
51Payam Heydari [80] [121] [136] [137] [138] [146] [154] [166] [168] [199]
52Mark Horowitz [53]
53Yu Hou [186]
54Cheng-Ta Hsieh [47] [48] [65] [69] [73] [77] [82] [98] [113] [115] [122] [123] [140] [150]
55Andy Hwang [265]
56Chanseok Hwang [191] [216] [225] [245] [266]
57Inkwon Hwang [274]
58Sasan Iman [22] [24] [32] [37] [39] [41] [51]
59Ali Iranli [171] [172] [184] [192] [206] [208] [211] [212] [220] [223] [239] [247] [249] [253]
60William H. Joyner Jr. [252]
61Hwisung Jung [229] [256] [262] [265] [276] [277] [284] [285] [286]
62Chang Woo Kang [156] [177] [192] [194] [215] [225] [246] [249]
63Chandramouli V. Kashyap [222]
64Kurt Keutzer [38]
65Sayfe Kiaei [71]
66Kwanho Kim [175] [195]
67Somayyeh Koohi [261] [275]
68Ernest S. Kuh [2] [4]
69Yung-Te Lai [7] [10] [14] [17] [20] [40] [44]
70Clifford Lau [252]
71Ulrich Lauther [3]
72Chi-Keung Lee [62]
73Wonbok Lee [183] [223] [228] [239] [241] [259] [267] [271] [287]
74Jian-Lin Liang [155]
75Sung Kyu Lim [255]
76Bill Lin [27] [43]
77Tao Lin [202] [210] [243] [254]
78Shihming Liu [29] [35] [55]
79Jinan Lou [64] [83] [89] [92] [94] [102] [107] [149]
80Enrico Macii [67] [78] [236]
81Morteza Maleki [158] [206] [207]
82Diana Marculescu [23] [28] [34] [42] [49] [52] [60] [66] [70] [74] [81] [85] [95] [100] [116] [117] [252]
83Radu Marculescu [23] [28] [34] [42] [49] [52] [60] [66] [70] [74] [81] [85] [95] [100] [116] [117] [187]
84Malgorzata Marek-Sadowska [2]
85Stefan Mayrhofer [3]
86Rakesh Mehrotra [63]
87Gaurav Mehta [65]
88Mohammad Mirza-Aghatabar [261] [275]
89Nasir Mohyuddin [279]
90José C. Monteiro (José Monteiro) [27] [43]
91Debaditya Mukherjee [9] [19]
92Mehrdad Najibi [230]
93Shahin Nazarian [202] [210] [218] [231] [233] [240] [243] [247] [254] [264]
94Bahman S. Nobandegani [11] [18]
95Jaewon Oh [50] [56] [86] [88] [91] [130]
96Alex Orailoglu [252]
97Shih-Lian T. Ou [124]
98Shihliang Ou [110]
99Ehsan Pakbaznia [238] [260] [269] [279] [283] [292]
100Kuo-Rueih Ricky Pan [20] [40]
101Kimish Patel [228] [241] [259] [267] [271] [287]
102Hossein Pedram [230]
103Gianluca Piccinini [84]
104Bryan Preas [1] [11] [18] [97]
105Iksoo Pyo [50] [56]
106Qinru Qiu [61] [79] [87] [99] [106] [125] [126] [129] [131] [141]
107Q. Qu [131]
108Jan M. Rabaey [53]
109Payam Rabiei [108]
110Amir-Mohammad Rahmani [291]
111Fred Rastgar [65]
112Peyman Rezvani [101] [164] [165]
113Peng Rong [162] [170] [173] [176] [205] [219] [221] [237] [244] [266] [285]
114Saeed Safari [291]
115M. Salehi [230]
116Amir H. Salek [64] [83] [89] [92] [94] [107] [149]
117Sarma Sastry [7]
118Hamid Savoj [101]
119Hojun Shim [181] [190]
120Maryam Soltan [274]
121Ramakrishna Soma [182] [183] [185] [188] [200]
122Fabio Somenzi [67] [78]
123Paul Tafertshofer [58]
124Chi-Ying Tsui [12] [13] [16] [21] [25] [27] [43] [52] [68] [72] [75] [76] [109]
125Emre Tuncer [193] [202] [210] [218] [243] [248] [254]
126Hirendu Vaishnav [15] [30] [31] [33] [54] [62] [96] [132]
127Sarma B. K. Vrudhula [10] [14] [17] [44]
128Jian Wei [127]
129Qing Wu [47] [48] [61] [68] [69] [73] [79] [87] [90] [99] [103] [105] [109] [125] [126] [127] [129] [141] [151]
130Xunwei Wu [59] [63] [90] [118] [120] [127] [128]
131Roberto Zafalon [236]
132Maurizio Zamboni [84]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)