dblp.uni-trier.dewww.uni-trier.de

Vladimir Zolotov

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
60EERuiming Chen, Lizheng Zhang, Vladimir Zolotov, Chandu Visweswariah, Jinjun Xiong: Static timing: Back to our roots. ASP-DAC 2008: 310-315
59EEJinjun Xiong, Vladimir Zolotov, Chandu Visweswariah: Incremental Criticality and Yield Gradients. DATE 2008: 1130-1135
58EEJinjun Xiong, Vladimir Zolotov, Chandu Visweswariah, Peter A. Habitz: Optimal Margin Computation for At-Speed Test. DATE 2008: 622-627
57EEVladimir Zolotov, Jinjun Xiong, Hanif Fatemi, Chandu Visweswariah: Statistical path selection for at-speed test. ICCAD 2008: 624-631
56EEHoward Chen, Scott Neely, Jinjun Xiong, Vladimir Zolotov, Chandu Visweswariah: Statistical Modeling and Analysis of Static Leakage and Dynamic Switching Power. PATMOS 2008: 178-187
2007
55EEVikram Iyengar, Jinjun Xiong, Subbayyan Venkatesan, Vladimir Zolotov, David E. Lackey, Peter A. Habitz, Chandu Visweswariah: Variation-aware performance verification using at-speed structural test and statistical timing. ICCAD 2007: 405-412
54EEVladimir Zolotov, Jinjun Xiong, S. Abbaspour, David J. Hathaway, Chandu Visweswariah: Compact modeling of variational waveforms. ICCAD 2007: 705-712
53EEJinjun Xiong, Vladimir Zolotov, Lei He: Robust Extraction of Spatial Correlation. IEEE Trans. on CAD of Integrated Circuits and Systems 26(4): 619-631 (2007)
2006
52EEJinjun Xiong, Vladimir Zolotov, Natesan Venkateswaran, Chandu Visweswariah: Criticality computation in parameterized statistical timing. DAC 2006: 63-68
51EEJinjun Xiong, Vladimir Zolotov, Lei He: Robust extraction of spatial correlation. ISPD 2006: 2-9
50EEMin Zhao, Yuhong Fu, Vladimir Zolotov, Savithri Sundareswaran, Rajendran Panda: Optimal placement of power-supply pads and pins. IEEE Trans. on CAD of Integrated Circuits and Systems 25(1): 144-154 (2006)
49EEHaldun Haznedar, Martin Gall, Vladimir Zolotov, Pon Sung Ku, Chanhee Oh, Rajendran Panda: Impact of stress-induced backflow on full-chip electromigration risk assessment. IEEE Trans. on CAD of Integrated Circuits and Systems 25(6): 1038-1046 (2006)
2005
48EEMatthew R. Guthaus, Natesan Venkateswaran, Vladimir Zolotov, Dennis Sylvester, Richard B. Brown: Optimization objectives and models of variation for statistical gate sizing. ACM Great Lakes Symposium on VLSI 2005: 313-316
47EEAseem Agarwal, Kaviraj Chopra, David Blaauw, Vladimir Zolotov: Circuit optimization using statistical static timing analysis. DAC 2005: 321-324
46EEHongliang Chang, Vladimir Zolotov, Sambasivan Narayan, Chandu Visweswariah: Parameterized block-based statistical timing analysis with non-gaussian parameters, nonlinear delay functions. DAC 2005: 71-76
45 Matthew R. Guthaus, Natesan Venkateswaran, Chandu Visweswariah, Vladimir Zolotov: Gate sizing using incremental parameterized statistical timing analysis. ICCAD 2005: 1029-1036
44 Saumil Shah, Ashish Srivastava, Dushyant Sharma, Dennis Sylvester, David Blaauw, Vladimir Zolotov: Discrete Vt assignment and gate sizing using a self-snapping continuous formulation. ICCAD 2005: 705-712
43 Amit Jain, David Blaauw, Vladimir Zolotov: Accurate delay computation for noisy waveform shapes. ICCAD 2005: 947-953
42 Murat R. Becer, Vladimir Zolotov, Rajendran Panda, Amir Grinshpon, Ilan Algor, Rafi Levy, Chanhee Oh: Pessimism reduction in crosstalk noise aware STA. ICCAD 2005: 954-961
2004
41EEMin Zhao, Yuhong Fu, Vladimir Zolotov, Savithri Sundareswaran, Rajendran Panda: Optimal placement of power supply pads and pins. DAC 2004: 165-170
40EESanjay Pant, David Blaauw, Vladimir Zolotov, Savithri Sundareswaran, Rajendran Panda: A stochastic approach To power grid analysis. DAC 2004: 171-176
39EEDongwoo Lee, Vladimir Zolotov, David Blaauw: Static timing analysis using backward signal propagation. DAC 2004: 664-669
38EEAlexey Glebov, Sergey Gavrilov, Vladimir Zolotov, Chanhee Oh, Rajendran Panda, Murat R. Becer: False-Noise Analysis for Domino Circuits. DATE 2004: 784-789
37EEAlexey Glebov, Sergey Gavrilov, R. Soloviev, Vladimir Zolotov, Murat R. Becer, Chanhee Oh, Rajendran Panda: Delay noise pessimism reduction by logic correlations. ICCAD 2004: 160-167
36EEChanhee Oh, Haldun Haznedar, Martin Gall, Amir Grinshpon, Vladimir Zolotov, Pon Sung Ku, Rajendran Panda: A Methodology for Chip-Level Electromigration Risk Assessment and Product Qualification. ISQED 2004: 232-237
35EEMurat R. Becer, David Blaauw, Ilan Algor, Rajendran Panda, Chanhee Oh, Vladimir Zolotov, Ibrahim N. Hajj: Postroute gate sizing for crosstalk noise reduction. IEEE Trans. on CAD of Integrated Circuits and Systems 23(12): 1670-1677 (2004)
34EEAseem Agarwal, Vladimir Zolotov, David Blaauw: Statistical clock skew analysis considering intradie-process variations. IEEE Trans. on CAD of Integrated Circuits and Systems 23(8): 1231-1242 (2004)
2003
33EEAseem Agarwal, David Blaauw, Vladimir Zolotov, Sarma B. K. Vrudhula: Computation and Refinement of Statistical Bounds on Circuit Delay. DAC 2003: 348-353
32EEMurat R. Becer, David Blaauw, Ilan Algor, Rajendran Panda, Chanhee Oh, Vladimir Zolotov, Ibrahim N. Hajj: Post-route gate sizing for crosstalk noise reduction. DAC 2003: 954-957
31EEAseem Agarwal, David Blaauw, Vladimir Zolotov, Sarma B. K. Vrudhula: Statistical Timing Analysis Using Bounds. DATE 2003: 10062-10067
30EED. Nadezhin, Sergey Gavrilov, Alexey Glebov, Y. Egorov, Vladimir Zolotov, David Blaauw, Rajendran Panda, Murat R. Becer, Alexandre Ardelea, A. Patel: SOI Transistor Model for Fast Transient Simulation. ICCAD 2003: 120128
29EESanjay Pant, David Blaauw, Vladimir Zolotov, Savithri Sundareswaran, Rajendran Panda: Vectorless Analysis of Supply Noise Induced Delay Variation. ICCAD 2003: 184-192
28EEAseem Agarwal, David Blaauw, Vladimir Zolotov: Statistical Timing Analysis for Intra-Die Process Variations with Spatial Correlations. ICCAD 2003: 900-907
27EEAseem Agarwal, David Blaauw, Vladimir Zolotov: Statistical Clock Skew Analysis Considering Intra-Die Process Variations. ICCAD 2003: 914-921
26EEHaitian Hu, David Blaauw, Vladimir Zolotov, Kaushik Gala, Min Zhao, Rajendran Panda, Sachin S. Sapatnekar: Table look-up based compact modeling for on-chip interconnect timing and noise analysis. ISCAS (4) 2003: 668-671
25EEMurat R. Becer, David Blaauw, Ilan Algor, Rajendran Panda, Chanhee Oh, Vladimir Zolotov, Ibrahim N. Hajj: Post-Route Gate Sizing for Crosstalk Noise Reduction. ISQED 2003: 171-176
24EEChanhee Oh, David Blaauw, Murat R. Becer, Vladimir Zolotov, Rajendran Panda, Aurobindo Dasgupta: Static Electromigration Analysis for Signal Interconnects. ISQED 2003: 377-
23EEDavid Blaauw, Chanhee Oh, Vladimir Zolotov, Aurobindo Dasgupta: Static electromigration analysis for on-chip signal interconnects. IEEE Trans. on CAD of Integrated Circuits and Systems 22(1): 39-48 (2003)
22EEHaitian Hu, David T. Blaauw, Vladimir Zolotov, Kaushik Gala, Min Zhao, Rajendran Panda, Sachin S. Sapatnekar: Fast on-chip inductance simulation using a precorrected-FFT method. IEEE Trans. on CAD of Integrated Circuits and Systems 22(1): 49-66 (2003)
21EEAseem Agarwal, Vladimir Zolotov, David T. Blaauw: Statistical timing analysis using bounds and selective enumeration. IEEE Trans. on CAD of Integrated Circuits and Systems 22(9): 1243-1260 (2003)
2002
20EEMurat R. Becer, Vladimir Zolotov, David Blaauw, Rajendran Panda, Ibrahim N. Hajj: Analysis of Noise Avoidance Techniques in DSM Interconnects Using a Complete Crosstalk Noise Model . DATE 2002: 456-464
19EEHaitian Hu, David Blaauw, Vladimir Zolotov, Kaushik Gala, Min Zhao, Rajendran Panda, Sachin S. Sapatnekar: A precorrected-FFT method for simulating on-chip inductance. ICCAD 2002: 221-227
18EEVladimir Zolotov, David Blaauw, Supamas Sirichotiyakul, Murat R. Becer, Chanhee Oh, Rajendran Panda, Amir Grinshpon, Rafi Levy: Noise propagation and failure criteria for VLSI designs. ICCAD 2002: 587-594
17EEVladimir Zolotov, David Blaauw, Rajendran Panda, Chanhee Oh: Noise Injection and Propagation in High Performance Designs. ISQED 2002: 425-430
16EEAlexey Glebov, Sergey Gavrilov, David Blaauw, Vladimir Zolotov, Rajendran Panda, Chanhee Oh: False-Noise Analysis Using Resolution Method. ISQED 2002: 437-
15EEAseem Agarwal, David Blaauw, Vladimir Zolotov, Sarma B. K. Vrudhula: Statistical timing analysis using bounds and selective enumeration. Timing Issues in the Specification and Synthesis of Digital Systems 2002: 16-21
14EEMin Zhao, Kaushik Gala, Vladimir Zolotov, Yuhong Fu, Rajendran Panda, R. Ramkumar, Bhuwan K. Agrawal: Worst case clock skew under power supply variations. Timing Issues in the Specification and Synthesis of Digital Systems 2002: 22-28
13EEAseem Agarwal, David Blaauw, Vladimir Zolotov, Sarma B. K. Vrudhula: Statistical timing analysis using bounds and selective enumeration. Timing Issues in the Specification and Synthesis of Digital Systems 2002: 29-36
12EEAlexey Glebov, Sergey Gavrilov, David Blaauw, Vladimir Zolotov: False-noise analysis using logic implications. ACM Trans. Design Autom. Electr. Syst. 7(3): 474-498 (2002)
11EEKaushik Gala, David Blaauw, Vladimir Zolotov, P. M. Vaidya, A. Joshi: Inductance model and analysis methodology for high-speed on-chip interconnect. IEEE Trans. VLSI Syst. 10(6): 730-745 (2002)
10EEDavid T. Blaauw, Vladimir Zolotov, Savithri Sundareswaran: Slope propagation in static timing analysis. IEEE Trans. on CAD of Integrated Circuits and Systems 21(10): 1180-1195 (2002)
2001
9EEKaushik Gala, David Blaauw, Junfeng Wang, Vladimir Zolotov, Min Zhao: Inductance 101: Analysis and Design Issues. DAC 2001: 329-334
8EESupamas Sirichotiyakul, David Blaauw, Chanhee Oh, Rafi Levy, Vladimir Zolotov, Jingyan Zuo: Driver Modeling and Alignment for Worst-Case Delay Noise. DAC 2001: 720-725
7EEAlexey Glebov, Sergey Gavrilov, David Blaauw, Supamas Sirichotiyakul, Chanhee Oh, Vladimir Zolotov: False-Noise Analysis using Logic Implications. ICCAD 2001: 515-
6EEMurat R. Becer, David Blaauw, Supamas Sirichotiyakul, Chanhee Oh, Vladimir Zolotov, Jingyan Zuo, Rafi Levy, Ibrahim N. Hajj: A Global Driver Sizing Tool for Functional Crosstalk Noise Avoidance. ISQED 2001: 158-
2000
5EEDavid Blaauw, Kaushik Gala, Vladimir Zolotov, Rajendran Panda, Junfeng Wang: On-chip inductance modeling. ACM Great Lakes Symposium on VLSI 2000: 75-80
4EERafi Levy, David Blaauw, Gabi Braca, Aurobindo Dasgupta, Amir Grinshpon, Chanhee Oh, Boaz Orshav, Supamas Sirichotiyakul, Vladimir Zolotov: ClariNet: a noise analysis tool for deep submicron design. DAC 2000: 233-238
3EEKaushik Gala, Vladimir Zolotov, Rajendran Panda, Brian Young, Junfeng Wang, David Blaauw: On-chip inductance modeling and analysis. DAC 2000: 63-68
2 David Blaauw, Vladimir Zolotov, Savithri Sundareswaran, Chanhee Oh, Rajendran Panda: Slope Propagation in Static Timing Analysis. ICCAD 2000: 338-343
1EERajendran Panda, David Blaauw, Rajat Chaudhry, Vladimir Zolotov, Brian Young, Ravi Ramaraju: Model and analysis for combined package and on-chip power grid simulation. ISLPED 2000: 179-184

Coauthor Index

1S. Abbaspour [54]
2Aseem Agarwal [13] [15] [21] [27] [28] [31] [33] [34] [47]
3Bhuwan K. Agrawal [14]
4Ilan Algor [25] [32] [35] [42]
5Alexandre Ardelea [30]
6Murat R. Becer [6] [18] [20] [24] [25] [30] [32] [35] [37] [38] [42]
7David Blaauw (David T. Blaauw) [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [15] [16] [17] [18] [19] [20] [21] [22] [23] [24] [25] [26] [27] [28] [29] [30] [31] [32] [33] [34] [35] [39] [40] [43] [44] [47]
8Gabi Braca [4]
9Richard B. Brown [48]
10Hongliang Chang [46]
11Rajat Chaudhry [1]
12Howard Chen [56]
13Ruiming Chen [60]
14Kaviraj Chopra [47]
15Aurobindo Dasgupta [4] [23] [24]
16Y. Egorov [30]
17Hanif Fatemi [57]
18Yuhong Fu [14] [41] [50]
19Kaushik Gala [3] [5] [9] [11] [14] [19] [22] [26]
20Martin Gall [36] [49]
21Sergey Gavrilov [7] [12] [16] [30] [37] [38]
22Alexey Glebov [7] [12] [16] [30] [37] [38]
23Amir Grinshpon [4] [18] [36] [42]
24Matthew R. Guthaus [45] [48]
25Peter A. Habitz [55] [58]
26Ibrahim N. Hajj [6] [20] [25] [32] [35]
27David J. Hathaway [54]
28Haldun Haznedar [36] [49]
29Lei He [51] [53]
30Haitian Hu [19] [22] [26]
31Vikram Iyengar [55]
32Amit Jain [43]
33A. Joshi [11]
34Pon Sung Ku [36] [49]
35David E. Lackey [55]
36Dongwoo Lee [39]
37Rafi Levy [4] [6] [8] [18] [42]
38D. Nadezhin [30]
39Sambasivan Narayan [46]
40Scott Neely [56]
41Chanhee Oh [2] [4] [6] [7] [8] [16] [17] [18] [23] [24] [25] [32] [35] [36] [37] [38] [42] [49]
42Boaz Orshav [4]
43Rajendran Panda [1] [2] [3] [5] [14] [16] [17] [18] [19] [20] [22] [24] [25] [26] [29] [30] [32] [35] [36] [37] [38] [40] [41] [42] [49] [50]
44Sanjay Pant [29] [40]
45A. Patel [30]
46Ravi Ramaraju [1]
47R. Ramkumar [14]
48Sachin S. Sapatnekar [19] [22] [26]
49Saumil Shah [44]
50Dushyant Sharma [44]
51Supamas Sirichotiyakul [4] [6] [7] [8] [18]
52R. Soloviev [37]
53Ashish Srivastava [44]
54Savithri Sundareswaran [2] [10] [29] [40] [41] [50]
55Dennis Sylvester [44] [48]
56P. M. Vaidya [11]
57Subbayyan Venkatesan [55]
58Natesan Venkateswaran [45] [48] [52]
59Chandu Visweswariah [45] [46] [52] [54] [55] [56] [57] [58] [59] [60]
60Sarma B. K. Vrudhula [13] [15] [31] [33]
61Junfeng Wang [3] [5] [9]
62Jinjun Xiong [51] [52] [53] [54] [55] [56] [57] [58] [59] [60]
63Brian Young [1] [3]
64Lizheng Zhang [60]
65Min Zhao [9] [14] [19] [22] [26] [41] [50]
66Jingyan Zuo [6] [8]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)