dblp.uni-trier.dewww.uni-trier.de

Charles J. Alpert

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
91EEMichael D. Moffitt, David A. Papa, Zhuo Li, Charles J. Alpert: Path smoothing via discrete optimization. DAC 2008: 724-727
90EEShiyan Hu, Zhuo Li, Charles J. Alpert: A polynomial time approximation scheme for timing constrained minimum cost layer assignment. ICCAD 2008: 112-115
89EETao Luo, David A. Papa, Zhuo Li, Chin-Ngai Sze, Charles J. Alpert, David Z. Pan: Pyramids: an efficient computational geometry-based approach for timing-driven placement. ICCAD 2008: 204-211
88EEDavid A. Papa, Tao Luo, Michael D. Moffitt, Chin-Ngai Sze, Zhuo Li, Gi-Joon Nam, Charles J. Alpert, Igor L. Markov: RUMBLE: an incremental, timing-driven, physical-synthesis optimization algorithm. ISPD 2008: 2-9
87EEZhuo Li, Charles J. Alpert, Shiyan Hu, Tuhin Muhmud, Stephen T. Quay, Paul G. Villarrubia: Fast interconnect synthesis with layer assignment. ISPD 2008: 71-77
86EEDavid A. Papa, Tao Luo, Michael D. Moffitt, Chin-Ngai Sze, Zhuo Li, Gi-Joon Nam, Charles J. Alpert, Igor L. Markov: RUMBLE: An Incremental Timing-Driven Physical-Synthesis Optimization Algorithm. IEEE Trans. on CAD of Integrated Circuits and Systems 27(12): 2156-2168 (2008)
2007
85EEHaoxing Ren, David Z. Pan, Charles J. Alpert, Gi-Joon Nam, Paul G. Villarrubia: Hippocrates: First-Do-No-Harm Detailed Placement. ASP-DAC 2007: 141-146
84EEShrirang K. Karandikar, Charles J. Alpert, Mehmet Can Yildiz, Paul Villarrubia, Stephen T. Quay, T. Mahmud: Fast Electrical Correction Using Resizing and Buffering. ASP-DAC 2007: 553-558
83EENatarajan Viswanathan, Gi-Joon Nam, Charles J. Alpert, Paul Villarrubia, Haoxing Ren, Chris C. N. Chu: RQL: Global Placement via Relaxed Quadratic Spreading and Linearization. DAC 2007: 453-458
82EECharles J. Alpert, Chris C. N. Chu, Paul G. Villarrubia: The coming of age of physical synthesis. ICCAD 2007: 246-249
81EEZhuo Li, Charles J. Alpert, Stephen T. Quay, Sachin S. Sapatnekar, Weiping Shi: Probabilistic Congestion Prediction with Partial Blockages. ISQED 2007: 841-846
80EECharles J. Alpert, Shrirang K. Karandikar, Zhuo Li, Gi-Joon Nam, Stephen T. Quay, Haoxing Ren, Cliff C. N. Sze, Paul G. Villarrubia, Mehmet Can Yildiz: The nuts and bolts of physical synthesis. SLIP 2007: 89-94
79EEShiyan Hu, Charles J. Alpert, Jiang Hu, Shrirang K. Karandikar, Zhuo Li, Weiping Shi, Chin-Ngai Sze: Fast Algorithms for Slew-Constrained Minimum Cost Buffering. IEEE Trans. on CAD of Integrated Circuits and Systems 26(11): 2009-2022 (2007)
78EEHaoxing Ren, David Z. Pan, Charles J. Alpert, Paul G. Villarrubia, Gi-Joon Nam: Diffusion-Based Placement Migration With Application on Legalization. IEEE Trans. on CAD of Integrated Circuits and Systems 26(12): 2158-2172 (2007)
77EEChin-Ngai Sze, Charles J. Alpert, Jiang Hu, Weiping Shi: Path-Based Buffer Insertion. IEEE Trans. on CAD of Integrated Circuits and Systems 26(7): 1346-1355 (2007)
2006
76EEShiyan Hu, Charles J. Alpert, Jiang Hu, Shrirang K. Karandikar, Zhuo Li, Weiping Shi, Cliff C. N. Sze: Fast algorithms for slew constrained minimum cost buffering. DAC 2006: 308-313
75EECharles J. Alpert, Andrew B. Kahng, Cliff C. N. Sze, Qinke Wang: Timing-driven Steiner trees are (practically) free. DAC 2006: 389-392
74EEGi-Joon Nam, Sherief Reda, Charles J. Alpert, Paul Villarrubia, Andrew B. Kahng: A Fast Hierarchical Quadratic Placement Algorithm. IEEE Trans. on CAD of Integrated Circuits and Systems 25(4): 678-691 (2006)
73EECharles J. Alpert, Jiang Hu, Sachin S. Sapatnekar, Cliff C. N. Sze: Accurate estimation of global buffer delay within a floorplan. IEEE Trans. on CAD of Integrated Circuits and Systems 25(6): 1140-1145 (2006)
2005
72EECharles J. Alpert, Gi-Joon Nam, Paul Villarribua, Mehmet Can Yildiz: Placement stability metrics. ASP-DAC 2005: 1144-1147
71EEZhuo Li, Cliff C. N. Sze, Charles J. Alpert, Jiang Hu, Weiping Shi: Making fast buffer insertion even faster via approximation techniques. ASP-DAC 2005: 13-18
70EECliff C. N. Sze, Charles J. Alpert, Jiang Hu, Weiping Shi: Path based buffer insertion. DAC 2005: 509-514
69EEHaoxing Ren, David Zhigang Pan, Charles J. Alpert, Paul Villarrubia: Diffusion-based placement migration. DAC 2005: 515-520
68 Tao Luo, Haoxing Ren, Charles J. Alpert, David Zhigang Pan: Computational geometry based placement migration. ICCAD 2005: 41-47
67 Ganesh Venkataraman, Nikhil Jayakumar, Jiang Hu, Peng Li, Sunil P. Khatri, Anand Rajaram, Patrick McGuinness, Charles J. Alpert: Practical techniques to reduce skew and its variations in buffered clock networks. ICCAD 2005: 592-596
66EECharles J. Alpert, Andrew B. Kahng, Gi-Joon Nam, Sherief Reda, Paul Villarrubia: A semi-persistent clustering technique for VLSI circuit placement. ISPD 2005: 200-207
65EEGi-Joon Nam, Charles J. Alpert, Paul Villarrubia, Bruce Winter, Mehmet Can Yildiz: The ISPD2005 placement contest and benchmark suite. ISPD 2005: 216-220
64EERajeev R. Rao, David Blaauw, Dennis Sylvester, Charles J. Alpert, Sani R. Nassif: An efficient surface-based low-power buffer insertion algorithm. ISPD 2005: 86-93
2004
63 Charles J. Alpert, Patrick Groeneveld: Proceedings of the 2004 International Symposium on Physical Design, ISPD 2004, Phoenix, Arizona, USA, April 18-21, 2004 ACM 2004
62EECliff C. N. Sze, Jiang Hu, Charles J. Alpert: A place and route aware buffered Steiner tree construction. ASP-DAC 2004: 355-360
61EEWeiping Shi, Zhuo Li, Charles J. Alpert: Complexity analysis and speedup techniques for optimal buffer insertion with minimum cost. ASP-DAC 2004: 609-614
60EECharles J. Alpert, Milos Hrkic, Jiang Hu, Stephen T. Quay: Fast and flexible buffer trees that navigate the physical layout environment. DAC 2004: 24-29
59EECharles J. Alpert, Jiang Hu, Sachin S. Sapatnekar, Cliff C. N. Sze: Accurate estimation of global buffer delay within a floorplan. ICCAD 2004: 706-711
58EECharles J. Alpert, Milos Hrkic, Stephen T. Quay: A fast algorithm for identifying good buffer insertion candidate locations. ISPD 2004: 47-52
57EECharles J. Alpert, Chris C. N. Chu, Gopal Gandham, Milos Hrkic, Jiang Hu, Chandramouli V. Kashyap, Stephen T. Quay: Simultaneous driver sizing and buffer insertion using a delay penalty estimation technique. IEEE Trans. on CAD of Integrated Circuits and Systems 23(1): 136-141 (2004)
56EECharles J. Alpert, Frank Liu, Chandramouli V. Kashyap, Anirudh Devgan: Closed-form delay and slew metrics made easy. IEEE Trans. on CAD of Integrated Circuits and Systems 23(12): 1661-1669 (2004)
55EEFrank Liu, Chandramouli V. Kashyap, Charles J. Alpert: A delay metric for RC circuits based on the Weibull distribution. IEEE Trans. on CAD of Integrated Circuits and Systems 23(3): 443-447 (2004)
54EEChandramouli V. Kashyap, Charles J. Alpert, Frank Liu, Anirudh Devgan: Closed-form expressions for extending step delay and slew metrics to ramp inputs for RC trees. IEEE Trans. on CAD of Integrated Circuits and Systems 23(4): 509-516 (2004)
53EECharles J. Alpert, Gopal Gandham, Milos Hrkic, Jiang Hu, Stephen T. Quay, Cliff C. N. Sze: Porosity-aware buffered Steiner tree construction. IEEE Trans. on CAD of Integrated Circuits and Systems 23(4): 517-526 (2004)
2003
52 Massoud Pedram, Charles J. Alpert: Proceedings of the 2003 International Symposium on Physical Design, ISPD 2003, Monterey, CA, USA, April 6-9, 2003 ACM 2003
51EECharles J. Alpert, Frank Liu, Chandramouli V. Kashyap, Anirudh Devgan: Delay and slew metrics using the lognormal distribution. DAC 2003: 382-385
50EECharles J. Alpert, Gopal Gandham, Milos Hrkic, Jiang Hu, Stephen T. Quay: Porosity aware buffered steiner tree construction. ISPD 2003: 158-165
49EEChandramouli V. Kashyap, Charles J. Alpert, Frank Liu, Anirudh Devgan: Closed form expressions for extending step delay and slew metrics to ramp inputs. ISPD 2003: 24-31
48EECharles J. Alpert, Gi-Joon Nam, Paul G. Villarrubia: Effective free space management for cut-based placement via analytical constraint generation. IEEE Trans. on CAD of Integrated Circuits and Systems 22(10): 1343-1353 (2003)
47EESoha Hassoun, Charles J. Alpert: Optimal path routing in single- and multiple-clock domain systems. IEEE Trans. on CAD of Integrated Circuits and Systems 22(11): 1580-1588 (2003)
46EECharles J. Alpert, Andrew B. Kahng, Bao Liu, Ion I. Mandoiu, Alexander Zelikovsky: Minimum buffered routing with bounded capacitive load for slew rate and reliability control. IEEE Trans. on CAD of Integrated Circuits and Systems 22(3): 241-253 (2003)
45EECharles J. Alpert, Sachin S. Sapatnekar: Guest editorial. IEEE Trans. on CAD of Integrated Circuits and Systems 22(4): 385-386 (2003)
44EEJiang Hu, Charles J. Alpert, Stephen T. Quay, Gopal Gandham: Buffer insertion with adaptive blockage avoidance. IEEE Trans. on CAD of Integrated Circuits and Systems 22(4): 492-498 (2003)
43EECharles J. Alpert, Jiang Hu, Sachin S. Sapatnekar, Paul Villarrubia: A practical methodology for early buffer and wire resource allocation. IEEE Trans. on CAD of Integrated Circuits and Systems 22(5): 573-583 (2003)
2002
42 David P. LaPotin, Charles J. Alpert, John Lillis: Proceedings of the 8th ACM/IEEE International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems, Monterey, California, USA, December 2-3, 2002 ACM 2002
41EESoha Hassoun, Charles J. Alpert, Meera Thiagarajan: Optimal buffered routing path constructions for single and multiple clock domain systems. ICCAD 2002: 247-253
40EEFrank Liu, Chandramouli V. Kashyap, Charles J. Alpert: A delay metric for RC circuits based on the Weibull distribution. ICCAD 2002: 620-624
39EECharles J. Alpert, Gi-Joon Nam, Paul Villarrubia: Free space management for cut-based placement. ICCAD 2002: 746-751
38EECharles J. Alpert, Chris C. N. Chu, Gopal Gandham, Milos Hrkic, Jiang Hu, Chandramouli V. Kashyap, Stephen T. Quay: Simultaneous driver sizing and buffer insertion using a delay penalty estimation technique. ISPD 2002: 104-109
37EEJiang Hu, Charles J. Alpert, Stephen T. Quay, Gopal Gandham: Buffer insertion with adaptive blockage avoidance. ISPD 2002: 92-97
36EEChandramouli V. Kashyap, Charles J. Alpert, Frank Liu, Anirudh Devgan: PERI: a technique for extending delay and slew metrics to ramp inputs. Timing Issues in the Specification and Synthesis of Digital Systems 2002: 57-62
35EECharles J. Alpert, Anirudh Devgan, John P. Fishburn, Stephen T. Quay: Correction to "interconnect synthesis without wire tapering". IEEE Trans. on CAD of Integrated Circuits and Systems 21(4): 497-497 (2002)
34EESuresh Raman, Sachin S. Sapatnekar, Charles J. Alpert: Probability-driven routing in a datapath environment. Integration 31(2): 159-182 (2002)
2001
33EECharles J. Alpert, Jiang Hu, Sachin S. Sapatnekar, Paul Villarrubia: A Practical Methodology for Early Buffer and Wire Resource Allocation. DAC 2001: 189-194
32EECharles J. Alpert, Andrew B. Kahng, Bao Liu, Ion I. Mandoiu, Alexander Zelikovsky: Minimum-Buffered Routing of Non-Critical Nets for Slew Rate and Reliability Control. ICCAD 2001: 408-
31EECharles J. Alpert, Gopal Gandham, Jiang Hu, José Luis Neves, Stephen T. Quay, Sachin S. Sapatnekar: Steiner tree optimization for buffers. Blockages and bays. ISCAS (5) 2001: 399-402
30EECharles J. Alpert, Milos Hrkic, Jiang Hu, Andrew B. Kahng, John Lillis, Bao Liu, Stephen T. Quay, Sachin S. Sapatnekar, A. J. Sullivan, Paul Villarrubia: Buffered Steiner trees for difficult instances. ISPD 2001: 4-9
29EECharles J. Alpert, Anirudh Devgan, John P. Fishburn, Stephen T. Quay: Interconnect synthesis without wire tapering. IEEE Trans. on CAD of Integrated Circuits and Systems 20(1): 90-104 (2001)
28EECharles J. Alpert, Gopal Gandham, Jiang Hu, José Luis Neves, Stephen T. Quay, Sachin S. Sapatnekar: Steiner tree optimization for buffers, blockages, and bays. IEEE Trans. on CAD of Integrated Circuits and Systems 20(4): 556-562 (2001)
27EECharles J. Alpert, Anirudh Devgan, Chandramouli V. Kashyap: RC delay metrics for performance optimization. IEEE Trans. on CAD of Integrated Circuits and Systems 20(5): 571-582 (2001)
2000
26 Chandramouli V. Kashyap, Charles J. Alpert, Anirudh Devgan: An "Effective" Capacitance Based Delay Metric for RC Interconnect. ICCAD 2000: 229-234
25EESuresh Raman, Sachin S. Sapatnekar, Charles J. Alpert: Datapath routing based on a decongestion metric. ISPD 2000: 122-127
24EECharles J. Alpert, Anirudh Devgan, Chandramouli V. Kashyap: A two moment RC delay metric for performance optimization. ISPD 2000: 69-74
23EECharles J. Alpert, Andrew E. Caldwell, Andrew B. Kahng, Igor L. Markov: Hypergraph partitioning with fixed vertices [VLSI CAD]. IEEE Trans. on CAD of Integrated Circuits and Systems 19(2): 267-272 (2000)
1999
22EECharles J. Alpert, Anirudh Devgan, Stephen T. Quay: Buffer Insertion with Accurate Gate and Interconnect Delay Computation. DAC 1999: 479-484
21EECharles J. Alpert, Anirudh Devgan, Stephen T. Quay: Is wire tapering worthwhile? ICCAD 1999: 430-436
20EECharles J. Alpert, Andrew E. Caldwell, Andrew B. Kahng, Igor L. Markov: Partitioning with terminals: a "new" problem and new benchmarks. ISPD 1999: 151-157
19EECharles J. Alpert, Andrew B. Kahng, So-Zen Yao: Spectral Partitioning with Multiple Eigenvectors. Discrete Applied Mathematics 90(1-3): 3-26 (1999)
18EECharles J. Alpert, Anirudh Devgan, Stephen T. Quay: Buffer insertion for noise and delay optimization. IEEE Trans. on CAD of Integrated Circuits and Systems 18(11): 1633-1645 (1999)
1998
17EECharles J. Alpert, Anirudh Devgan, Stephen T. Quay: Buffer Insertion for Noise and Delay Optimization. DAC 1998: 362-367
16EECharles J. Alpert: The ISPD98 circuit benchmark suite. ISPD 1998: 80-85
15EECharles J. Alpert, Tony F. Chan, Andrew B. Kahng, Igor L. Markov, Pep Mulet: Faster minimization of linear wirelength for global placement. IEEE Trans. on CAD of Integrated Circuits and Systems 17(1): 3-13 (1998)
14EECharles J. Alpert, Jen-Hsin Huang, Andrew B. Kahng: Multilevel circuit partitioning. IEEE Trans. on CAD of Integrated Circuits and Systems 17(8): 655-667 (1998)
1997
13EECharles J. Alpert, Jen-Hsin Huang, Andrew B. Kahng: Multilevel Circuit Partitioning. DAC 1997: 530-533
12EECharles J. Alpert, Anirudh Devgan: Wire Segmenting for Improved Buffer Insertion. DAC 1997: 588-593
11EECharles J. Alpert, Tony F. Chan, Dennis J.-H. Huang, Igor L. Markov, Kenneth Yan: Quadratic Placement Revisited. DAC 1997: 752-757
10EECharles J. Alpert, Tony F. Chan, Dennis J.-H. Huang, Andrew B. Kahng, Igor L. Markov, Pep Mulet, Kenneth Yan: Faster minimization of linear wirelength for global placement. ISPD 1997: 4-11
1996
9EECharles J. Alpert, Andrew B. Kahng: A general framework for vertex orderings with applications to circuit clustering. IEEE Trans. VLSI Syst. 4(2): 240-246 (1996)
1995
8EECharles J. Alpert, So-Zen Yao: Spectral Partitioning: The More Eigenvectors, The Better. DAC 1995: 195-200
7EECharles J. Alpert, Andrew B. Kahng: Multiway partitioning via geometric embeddings, orderings, and dynamic programming. IEEE Trans. on CAD of Integrated Circuits and Systems 14(11): 1342-1358 (1995)
6EECharles J. Alpert, T. C. Hu, Dennis J.-H. Huang, Andrew B. Kahng, David R. Karger: Prim-Dijkstra tradeoffs for improved performance-driven routing tree design. IEEE Trans. on CAD of Integrated Circuits and Systems 14(7): 890-896 (1995)
1994
5EECharles J. Alpert, Andrew B. Kahng: Multi-Way Partitioning Via Spacefilling curves and Dynamic Programming. DAC 1994: 652-657
4EECharles J. Alpert, Andrew B. Kahng: A general framework for vertex orderings, with applications to netlist clustering. ICCAD 1994: 63-67
1993
3EECharles J. Alpert, Andrew B. Kahng: Geometric Embeddings for Faster and Better Multi-Way Netlist Partitioning. DAC 1993: 743-748
2 Charles J. Alpert, Jason Cong, Andrew B. Kahng, Gabriel Robins, Majid Sarrafzadeh: Minimum Density Interconneciton Trees. ISCAS 1993: 1865-1868
1 Charles J. Alpert, T. C. Hu, Jen-Hsin Huang, Andrew B. Kahng: A Direct Combination of the Prim and Dijkstra Constructions for Improved Performance-driven Global Routing. ISCAS 1993: 1869-1872

Coauthor Index

1David Blaauw (David T. Blaauw) [64]
2Andrew E. Caldwell [20] [23]
3Tony F. Chan [10] [11] [15]
4Chris C. N. Chu (Chris Chong-Nuen Chu) [38] [57] [82] [83]
5Jason Cong [2]
6Anirudh Devgan [12] [17] [18] [21] [22] [24] [26] [27] [29] [35] [36] [49] [51] [54] [56]
7John P. Fishburn [29] [35]
8Gopal Gandham [28] [31] [37] [38] [44] [50] [53] [57]
9Patrick Groeneveld [63]
10Soha Hassoun [41] [47]
11Milos Hrkic [30] [38] [50] [53] [57] [58] [60]
12Jiang Hu [28] [30] [31] [33] [37] [38] [43] [44] [50] [53] [57] [59] [60] [62] [67] [70] [71] [73] [76] [77] [79]
13Shiyan Hu [76] [79] [87] [90]
14T. C. Hu [1] [6]
15Dennis J.-H. Huang (Jen-Hsin Huang) [1] [6] [10] [11] [13] [14]
16Nikhil Jayakumar [67]
17Andrew B. Kahng [1] [2] [3] [4] [5] [6] [7] [9] [10] [13] [14] [15] [19] [20] [23] [30] [32] [46] [66] [74] [75]
18Shrirang K. Karandikar [76] [79] [80] [84]
19David R. Karger [6]
20Chandramouli V. Kashyap [24] [26] [27] [36] [38] [40] [49] [51] [54] [55] [56] [57]
21Sunil P. Khatri [67]
22David P. LaPotin [42]
23Peng Li [67]
24Zhuo Li [61] [71] [76] [79] [80] [81] [86] [87] [88] [89] [90] [91]
25John Lillis [30] [42]
26Bao Liu [30] [32] [46]
27Frank Liu [36] [40] [49] [51] [54] [55] [56]
28Tao Luo [68] [86] [88] [89]
29T. Mahmud [84]
30Ion I. Mandoiu [32] [46]
31Igor L. Markov [10] [11] [15] [20] [23] [86] [88]
32Patrick McGuinness [67]
33Michael D. Moffitt [86] [88] [91]
34Tuhin Muhmud [87]
35Pep Mulet [10] [15]
36Gi-Joon Nam [39] [48] [65] [66] [72] [74] [78] [80] [83] [85] [86] [88]
37Sani R. Nassif [64]
38José Luis Neves [28] [31]
39David Z. Pan (David Zhigang Pan) [68] [69] [78] [85] [89]
40David A. Papa [86] [88] [89] [91]
41Massoud Pedram [52]
42Stephen T. Quay [17] [18] [21] [22] [28] [29] [30] [31] [35] [37] [38] [44] [50] [53] [57] [58] [60] [80] [81] [84] [87]
43Anand Rajaram [67]
44Suresh Raman [25] [34]
45Rajeev R. Rao [64]
46Sherief Reda [66] [74]
47Haoxing Ren [68] [69] [78] [80] [83] [85]
48Gabriel Robins [2]
49Sachin S. Sapatnekar [25] [28] [30] [31] [33] [34] [43] [45] [59] [73] [81]
50Majid Sarrafzadeh [2]
51Weiping Shi [61] [70] [71] [76] [77] [79] [81]
52A. J. Sullivan [30]
53Dennis Sylvester [64]
54Chin-Ngai Sze [77] [79] [86] [88] [89]
55Cliff C. N. Sze (Chin Ngai Sze, Cliff N. Sze) [53] [59] [62] [70] [71] [73] [75] [76] [80]
56Meera Thiagarajan [41]
57Ganesh Venkataraman [67]
58Paul Villarribua [72]
59Paul G. Villarrubia (Paul Villarrubia) [30] [33] [39] [43] [48] [65] [66] [69] [74] [78] [80] [82] [83] [84] [85] [87]
60Natarajan Viswanathan [83]
61Qinke Wang [75]
62Bruce Winter [65]
63Kenneth Yan [10] [11]
64So-Zen Yao [8] [19]
65Mehmet Can Yildiz [65] [72] [80] [84]
66Alexander Zelikovsky [32] [46]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)