dblp.uni-trier.dewww.uni-trier.de

Tulika Mitra

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2009
51EERamkumar Jayaseelan, Tulika Mitra: Temperature Aware Scheduling for Embedded Processors. VLSI Design 2009: 541-546
2008
50EEYun Liang, Tulika Mitra: Static analysis for fast and accurate design space exploration of caches. CODES+ISSS 2008: 103-108
49EEYun Liang, Lei Ju, Samarjit Chakraborty, Tulika Mitra, Abhik Roychoudhury: Cache-aware optimization of BAN applications. CODES+ISSS 2008: 149-154
48EEVivy Suhendra, Abhik Roychoudhury, Tulika Mitra: Scratchpad allocation for concurrent embedded software. CODES+ISSS 2008: 37-42
47EEVivy Suhendra, Tulika Mitra: Exploring locking & partitioning for predictable shared caches on multi-cores. DAC 2008: 300-303
46EEYun Liang, Tulika Mitra: Cache modeling in probabilistic execution time analysis. DAC 2008: 319-324
45EERamkumar Jayaseelan, Tulika Mitra: Temperature aware task sequencing and voltage scaling. ICCAD 2008: 618-623
44EEReinhard Wilhelm, Jakob Engblom, Andreas Ermedahl, Niklas Holsti, Stephan Thesing, David B. Whalley, Guillem Bernat, Christian Ferdinand, Reinhold Heckmann, Tulika Mitra, Frank Mueller, Isabelle Puaut, Peter P. Puschner, Jan Staschulat, Per Stenström: The worst-case execution-time problem - overview of methods and survey of tools. ACM Trans. Embedded Comput. Syst. 7(3): (2008)
2007
43EEXianfeng Li, Abhik Roychoudhury, Tulika Mitra, Prabhat Mishra, Xu Cheng: A Retargetable Software Timing Analyzer Using Architecture Description Language. ASP-DAC 2007: 396-401
42EEHuynh Phung Huynh, Joon Edward Sim, Tulika Mitra: An efficient framework for dynamic reconfiguration of instruction-set customization. CASES 2007: 135-144
41EEHuynh Phung Huynh, Tulika Mitra: Instruction-set customization for real-time embedded systems. DATE 2007: 1472-1477
40EESamarjit Chakraborty, Tulika Mitra, Abhik Roychoudhury, Lothar Thiele, Unmesh D. Bordoloi, Cem Derdiyok: Cache-Aware Timing Analysis of Streaming Applications. ECRTS 2007: 159-168
39EEPan Yu, Tulika Mitra: Disjoint Pattern Enumeration for Custom Instructions Identification. FPL 2007: 273-278
38EELiang Yun, Abhik Roychoudhury, Tulika Mitra: Timing Analysis of Body Area Network Applications. WCET 2007
37EEXianfeng Li, Liang Yun, Tulika Mitra, Abhik Roychoudhury: Chronos: A timing analyzer for embedded software. Sci. Comput. Program. 69(1-3): 56-67 (2007)
2006
36EEVivy Suhendra, Chandrashekar Raghavan, Tulika Mitra: Integrated scratchpad memory optimization and task scheduling for MPSoC architectures. CASES 2006: 401-410
35EEVivy Suhendra, Tulika Mitra, Abhik Roychoudhury, Ting Chen: Efficient detection and exploitation of infeasible paths for software timing analysis. DAC 2006: 358-363
34EERamkumar Jayaseelan, Haibin Liu, Tulika Mitra: Exploiting forwarding to improve data bandwidth of instruction-set extensions. DAC 2006: 43-48
33EERamkumar Jayaseelan, Tulika Mitra, Xianfeng Li: Estimating the Worst-Case Energy Consumption of Embedded Software. IEEE Real Time Technology and Applications Symposium 2006: 81-90
32EEBiman Chakraborty, Ting Chen, Tulika Mitra, Abhik Roychoudhury: Handling Constraints in Multi-Objective GA for Embedded System Design. VLSI Design 2006: 305-310
31EEXianfeng Li, Abhik Roychoudhury, Tulika Mitra: Modeling out-of-order processors for WCET analysis. Real-Time Systems 34(3): 195-227 (2006)
2005
30EEPan Yu, Tulika Mitra: Satisfying real-time constraints with custom instructions. CODES+ISSS 2005: 166-171
29EEAbhik Roychoudhury, Tulika Mitra, Hemendra Singh Negi: Analyzing Loop Paths for Execution Time Estimation. ICDCIT 2005: 458-469
28EEVivy Suhendra, Tulika Mitra, Abhik Roychoudhury, Ting Chen: WCET Centric Data Allocation to Scratchpad Memory. RTSS 2005: 223-232
27EETing Chen, Tulika Mitra, Abhik Roychoudhury, Vivy Suhendra: Exploiting Branch Constraints without Exhaustive Path Enumeration. WCET 2005
26EEXianfeng Li, Tulika Mitra, Abhik Roychoudhury: Modeling Control Speculation for Timing Analysis. Real-Time Systems 29(1): 27-58 (2005)
2004
25EEPan Yu, Tulika Mitra: Scalable custom instructions identification for instruction-set extensible processors. CASES 2004: 69-78
24EEPan Yu, Tulika Mitra: Characterizing embedded applications for instruction-set extensible processors. DAC 2004: 723-728
23EELei He, Tulika Mitra, Weng-Fai Wong: Configuration bitstream compression for dynamically reconfigurable FPGAs. ICCAD 2004: 766-773
22EEXianfeng Li, Hemendra Singh Negi, Tulika Mitra, Abhik Roychoudhury: Design space exploration of caches using compressed traces. ICS 2004: 116-125
21EETulika Mitra, Abhik Roychoudhury, Qinghua Shen: Impact of Java Memory Model on Out-of-Order Multiprocessors. IEEE PACT 2004: 99-110
20EEXianfeng Li, Abhik Roychoudhury, Tulika Mitra: Modeling Out-of-Order Processors for Software Timing Analysis. RTSS 2004: 92-103
2003
19EEHemendra Singh Negi, Tulika Mitra, Abhik Roychoudhury: Accurate estimation of cache-related preemption delay. CODES+ISSS 2003: 201-206
18EEXianfeng Li, Tulika Mitra, Abhik Roychoudhury: Accurate timing analysis by modeling caches, speculation and their interaction. DAC 2003: 466-471
17EEAbhik Roychoudhury, Tulika Mitra, S. R. Karri: Using Formal Techniques to Debug the AMBA System-on-Chip Bus Protocol. DATE 2003: 10828-10833
16EETulika Mitra, Tzi-cker Chiueh: Compression-Domain Editing of 3D Models. DCC 2003: 343-352
15EEJirong Liao, Weng-Fai Wong, Tulika Mitra: A Model for Hardware Realization of Kernel Loops. FPL 2003: 334-344
14EEAnkit Goel, Abhik Roychoudhury, Tulika Mitra: Compactly representing parallel program executions. PPOPP 2003: 191-202
2002
13EETulika Mitra, Tzi-cker Chiueh: An FPGA Implementation of Triangle Mesh Decompression. FCCM 2002: 22-
12EEAbhik Roychoudhury, Tulika Mitra: Specifying multithreaded Java semantics for program verification. ICSE 2002: 489-499
11EETulika Mitra, Tzi-cker Chiueh: Compression-Domain Parallel Rendering. IPDPS 2002
10EEAbhik Roychoudhury, Xianfeng Li, Tulika Mitra: Timing Analysis of Embedded Software for Speculative Processors. ISSS 2002: 126-131
9EEChuan-Kai Yang, Tulika Mitra, Tzi-cker Chiueh: A Decoupled Architecture for Application-Specific File Prefetching. USENIX Annual Technical Conference, FREENIX Track 2002: 157-170
2000
8 Tulika Mitra, Chuan-Kai Yang, Tzi-cker Chiueh: Application-Specific File Prefetching for Multimedia Programs. IEEE International Conference on Multimedia and Expo (I) 2000: 459-462
7EEChuan-Kai Yang, Tulika Mitra, Tzi-cker Chiueh: On-the-Fly rendering of losslessly compressed irregular volume data. IEEE Visualization 2000: 101-108
6EETzi-cker Chiueh, Tulika Mitra, Anindya Neogi, Chuan-Kai Yang: Zodiac: A history-based interactive video authoring system. Multimedia Syst. 8(3): 201-211 (2000)
1999
5EESriram Vajapeyam, P. J. Joseph, Tulika Mitra: Dynamic Vectorization: A Mechanism for Exploiting Far-Flung ILP in Ordinary Programs. ISCA 1999: 16-27
4EETulika Mitra, Tzi-cker Chiueh: Dynamic 3D Graphics Workload Characterization and the Architectural Implications. MICRO 1999: 62-71
1998
3EETzi-cker Chiueh, Tulika Mitra, Anindya Neogi, Chuan-Kai Yang: Zodiac: A History-Based Interactive Video Authoring System. ACM Multimedia 1998: 435-444
2EETulika Mitra, Tzi-cker Chiueh: Implementation and Evaluation of the Parallel Mesa Library. ICPADS 1998: 84-91
1997
1EESriram Vajapeyam, Tulika Mitra: Improving Superscalar Instruction Dispatch and Issue by Exploiting Dynamic Code Sequences. ISCA 1997: 1-12

Coauthor Index

1Guillem Bernat [44]
2Unmesh D. Bordoloi [40]
3Biman Chakraborty [32]
4Samarjit Chakraborty [40] [49]
5Ting Chen [27] [28] [32] [35]
6Xu Cheng [43]
7Tzi-cker Chiueh [2] [3] [4] [6] [7] [8] [9] [11] [13] [16]
8Cem Derdiyok [40]
9Jakob Engblom [44]
10Andreas Ermedahl [44]
11Christian Ferdinand [44]
12Ankit Goel [14]
13Lei He [23]
14Reinhold Heckmann [44]
15Niklas Holsti [44]
16Huynh Phung Huynh [41] [42]
17Ramkumar Jayaseelan [33] [34] [45] [51]
18P. J. Joseph [5]
19Lei Ju [49]
20S. R. Karri [17]
21Xianfeng Li [10] [18] [20] [22] [26] [31] [33] [37] [43]
22Yun Liang [46] [49] [50]
23Jirong Liao [15]
24Haibin Liu [34]
25Prabhat Mishra [43]
26Frank Mueller [44]
27Hemendra Singh Negi [19] [22] [29]
28Anindya Neogi [3] [6]
29Isabelle Puaut [44]
30Peter P. Puschner [44]
31Chandrashekar Raghavan [36]
32Abhik Roychoudhury [10] [12] [14] [17] [18] [19] [20] [21] [22] [26] [27] [28] [29] [31] [32] [35] [37] [38] [40] [43] [48] [49]
33Qinghua Shen [21]
34Joon Edward Sim [42]
35Jan Staschulat [44]
36Per Stenström [44]
37Vivy Suhendra [27] [28] [35] [36] [47] [48]
38Stephan Thesing [44]
39Lothar Thiele [40]
40Sriram Vajapeyam [1] [5]
41David B. Whalley [44]
42Reinhard Wilhelm [44]
43Weng-Fai Wong [15] [23]
44Chuan-Kai Yang [3] [6] [7] [8] [9]
45Pan Yu [24] [25] [30] [39]
46Liang Yun [37] [38]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)