dblp.uni-trier.dewww.uni-trier.de

I-Min Liu

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2007
16EEHuaizhi Wu, Martin D. F. Wong, I-Min Liu, Yusu Wang: Placement-Proximity-Based Voltage Island Grouping Under Performance Requirement. IEEE Trans. on CAD of Integrated Circuits and Systems 26(7): 1256-1269 (2007)
2006
15EEHuaizhi Wu, Martin D. F. Wong, I-Min Liu: Timing-constrained and voltage-island-aware voltage assignment. DAC 2006: 429-432
14EEHung-Ming Chen, I-Min Liu, Martin D. F. Wong: I/O Clustering in Design Cost and Performance Optimization for Flip-Chip Design. IEEE Trans. on CAD of Integrated Circuits and Systems 25(11): 2552-2556 (2006)
2005
13 Huaizhi Wu, I-Min Liu, Martin D. F. Wong, Yusu Wang: Post-placement voltage island generation under performance requirement. ICCAD 2005: 309-316
12EEHua Xiang, I-Min Liu, Martin D. F. Wong: Wire Planning with Bounded Over-the-Block Wires. ISQED 2005: 622-627
11EEHung-Ming Chen, Li-Da Huang, I-Min Liu, Martin D. F. Wong: Simultaneous power supply planning and noise avoidance in floorplan design. IEEE Trans. on CAD of Integrated Circuits and Systems 24(4): 578-587 (2005)
2004
10EEHung-Ming Chen, I-Min Liu, Martin D. F. Wong, Muzhou Shao, Li-Da Huang: I/O Clustering in Design Cost and Performance Optimization for Flip-Chip Design. ICCD 2004: 562-567
9EELi-Da Huang, Xiaoping Tang, Hua Xiang, Martin D. F. Wong, I-Min Liu: A polynomial time-optimal diode insertion/routing algorithm for fixing antenna problem [IC layout]. IEEE Trans. on CAD of Integrated Circuits and Systems 23(1): 141-147 (2004)
2002
8EELi-Da Huang, Xiaoping Tang, Hua Xiang, D. F. Wong, I-Min Liu: A Polynomial Time Optimal Diode Insertion/Routing Algorithm for Fixing Antenna Problem. DATE 2002: 470-477
2001
7EEI-Min Liu, Hung-Ming Chen, Tan-Li Chou, Adnan Aziz, D. F. Wong: Integrated power supply planning and floorplanning. ASP-DAC 2001: 589-594
2000
6EEI-Min Liu, Adnan Aziz, D. F. Wong: Meeting Delay Constraints in DSM by Minimal Repeater Insertion. DATE 2000: 436-440
5EEI-Min Liu, Adnan Aziz: Delay Constrained Optimization by Simultaneous Fanout Tree Construction, Buffer Insertion/Sizing and Gate Sizing. ICCD 2000: 209-214
4EEI-Min Liu, Tan-Li Chou, Adnan Aziz, D. F. Wong: Zero-skew clock tree construction by simultaneous routing, wire sizing and buffer insertion. ISPD 2000: 33-38
3EEHai Zhou, Martin D. F. Wong, I-Min Liu, Adnan Aziz: Simultaneous routing and buffer insertion with restrictions onbuffer locations. IEEE Trans. on CAD of Integrated Circuits and Systems 19(7): 819-824 (2000)
1999
2EEHai Zhou, D. F. Wong, I-Min Liu, Adnan Aziz: Simultaneous Routing and Buffer Insertion with Restrictions on Buffer Locations. DAC 1999: 96-99
1EEI-Min Liu, Adnan Aziz, D. F. Wong, Hai Zhou: An Efficient Buffer Insertion Algorithm for Large Networks Based on Lagrangian Relaxation. ICCD 1999: 210-215

Coauthor Index

1Adnan Aziz [1] [2] [3] [4] [5] [6] [7]
2Hung-Ming Chen [7] [10] [11] [14]
3Tan-Li Chou [4] [7]
4Li-Da Huang [8] [9] [10] [11]
5Muzhou Shao [10]
6Xiaoping Tang [8] [9]
7Yusu Wang [13] [16]
8Martin D. F. Wong (D. F. Wong) [1] [2] [3] [4] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15] [16]
9Huaizhi Wu [13] [15] [16]
10Hua Xiang [8] [9] [12]
11Hai Zhou [1] [2] [3]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)