dblp.uni-trier.dewww.uni-trier.de

Radu Marculescu

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2009
86EERadu Marculescu, Ümit Y. Ogras, Li-Shiuan Peh, Natalie D. Enright Jerger, Yatin Vasant Hoskote: Outstanding Research Problems in NoC Design: System, Microarchitecture, and Circuit Perspectives. IEEE Trans. on CAD of Integrated Circuits and Systems 28(1): 3-21 (2009)
2008
85EEÜmit Y. Ogras, Radu Marculescu, Diana Marculescu: Variation-adaptive feedback control for networks-on-chip with multiple clock domains. DAC 2008: 614-619
84EEChen-Ling Chou, Radu Marculescu: User-Aware Dynamic Task Allocation in Networks-on-Chip. DATE 2008: 1232-1237
83EEChen-Ling Chou, Radu Marculescu: Contention-aware application mapping for Network-on-Chip communication architectures. ICCD 2008: 164-169
82EEHung-Chih Lai, Radu Marculescu, Marios Savvides, Tsuhan Chen: Communication-Aware Face Detection Using Noc Architecture. ICVS 2008: 181-189
81EEÜmit Y. Ogras, Radu Marculescu: Analysis and optimization of prediction-based flow control in networks-on-chip. ACM Trans. Design Autom. Electr. Syst. 13(1): (2008)
80EENicholas H. Zamora, Xiaoping Hu, Ümit Y. Ogras, Radu Marculescu: Enabling multimedia using resource-constrained video processing techniques: A node-centric perspective. ACM Trans. Design Autom. Electr. Syst. 13(1): (2008)
79EEChen-Ling Chou, Ümit Y. Ogras, Radu Marculescu: Energy- and Performance-Aware Incremental Mapping for Networks on Chip With Multiple Voltage Levels. IEEE Trans. on CAD of Integrated Circuits and Systems 27(10): 1866-1879 (2008)
2007
78EERadu Marculescu, Borivoje Nikolic, Alberto L. Sangiovanni-Vincentelli: Fresh air: the emerging landscape of design for networked embedded systems. CODES+ISSS 2007: 124
77EEChen-Ling Chou, Radu Marculescu: Incremental run-time application mapping for homogeneous NoCs with multiple voltage levels. CODES+ISSS 2007: 161-166
76EEÜmit Y. Ogras, Radu Marculescu, Puru Choudhary, Diana Marculescu: Voltage-Frequency Island Partitioning for GALS-based Networks-on-Chip. DAC 2007: 110-115
75EEPaul Bogdan, Radu Marculescu: Quantum-Like Effects in Network-on-Chip Buffers Behavior. DAC 2007: 266-267
74EEÜmit Y. Ogras, Radu Marculescu: Analytical router modeling for networks-on-chip performance analysis. DATE 2007: 1096-1101
73EENicholas H. Zamora, Jung-Chun Kao, Radu Marculescu: Distributed power-management techniques for wireless network video systems. DATE 2007: 564-569
72EEJung-Chun Kao, Radu Marculescu: Energy-efficient anonymous multicast in mobile ad-hoc networks. ICPADS 2007: 1-8
71EETing-Chun Huang, Ümit Y. Ogras, Radu Marculescu: Virtual Channels Planning for Networks-on-Chip. ISQED 2007: 879-884
70EECristian Grecu, André Ivanov, Partha Pratim Pande, Axel Jantsch, Erno Salminen, Ümit Y. Ogras, Radu Marculescu: Towards Open Network-on-Chip Benchmarks. NOCS 2007: 205
69EENicholas H. Zamora, Xiaoping Hu, Radu Marculescu: System-level performance/power analysis for platform-based design of multimedia applications. ACM Trans. Design Autom. Electr. Syst. 12(1): (2007)
68EEHyung Gyu Lee, Naehyuck Chang, Ümit Y. Ogras, Radu Marculescu: On-chip communication architecture exploration: A quantitative evaluation of point-to-point, bus, and network-on-chip approaches. ACM Trans. Design Autom. Electr. Syst. 12(3): (2007)
67EEÜmit Y. Ogras, Radu Marculescu: Energy- and Performance-Driven NoC Communication Architecture Synthesis Using a Decomposition Approach CoRR abs/0710.4707: (2007)
66EEJung-Chun Kao, Radu Marculescu: Energy-Aware Routing for E-Textile Applications CoRR abs/0710.4728: (2007)
65EEÜmit Y. Ogras, Radu Marculescu, Hyung Gyu Lee, Puru Choudhary, Diana Marculescu, Michael Kaufman, Peter Nelson: Challenges and Promising Results in NoC Prototyping Using FPGAs. IEEE Micro 27(5): 86-95 (2007)
64EEJung-Chun Kao, Radu Marculescu: Minimizing Eavesdropping Risk by Transmission Power Control in Multihop Wireless Networks. IEEE Trans. Computers 56(8): 1009-1023 (2007)
2006
63EEHyung Gyu Lee, Ümit Y. Ogras, Radu Marculescu, Naehyuck Chang: Design space exploration and prototyping for on-chip multimedia applications. DAC 2006: 137-142
62EEÜmit Y. Ogras, Radu Marculescu: Prediction-based flow control for network-on-chip traffic. DAC 2006: 839-844
61EERadu Marculescu, Jan M. Rabaey, Alberto L. Sangiovanni-Vincentelli: Is "Network" the next "Big Idea" in design? DATE 2006: 254-256
60EEÜmit Y. Ogras, Radu Marculescu, Hyung Gyu Lee, Naehyuck Chang: Communication architecture optimization: making the shortest path shorter in regular networks-on-chip. DATE 2006: 712-717
59EEYanhong Liu, Samarjit Chakraborty, Radu Marculescu: Generalized Rate Analysis for Media-Processing Platforms. RTCSA 2006: 305-314
58EERadu Marculescu, Ümit Y. Ogras, Nicholas H. Zamora: Computation and communication refinement for multiprocessor SoC design: A system-level perspective. ACM Trans. Design Autom. Electr. Syst. 11(3): 564-592 (2006)
57EEJung-Chun Kao, Radu Marculescu: On Optimization of E-Textile Systems Using Redundancy and Energy-Aware Routing. IEEE Trans. Computers 55(6): 745-756 (2006)
56EEÜmit Y. Ogras, Radu Marculescu: "It's a small world after all": NoC performance optimization via long-range link insertion. IEEE Trans. VLSI Syst. 14(7): 693-706 (2006)
55EEJingcao Hu, Ümit Y. Ogras, Radu Marculescu: System-Level Buffer Allocation for Application-Specific Networks-on-Chip Router Design. IEEE Trans. on CAD of Integrated Circuits and Systems 25(12): 2919-2933 (2006)
2005
54EEÜmit Y. Ogras, Jingcao Hu, Radu Marculescu: Communication-Centric SoC Design for Nanoscale Domain. ASAP 2005: 73-78
53EEÜmit Y. Ogras, Jingcao Hu, Radu Marculescu: Key research problems in NoC design: a holistic perspective. CODES+ISSS 2005: 69-74
52EEJung-Chun Kao, Radu Marculescu: Energy-Aware Routing for E-Textile Applications. DATE 2005: 184-189
51EEÜmit Y. Ogras, Radu Marculescu: Energy- and Performance-Driven NoC Communication Architecture Synthesis Using a Decomposition Approach. DATE 2005: 352-357
50 Ümit Y. Ogras, Radu Marculescu: Application-specific network-on-chip architecture customization via long-range link insertion. ICCAD 2005: 246-253
49EEZhiyuan Ren, Bruce H. Krogh, Radu Marculescu: Hierarchical Adaptive Dynamic Power Management. IEEE Trans. Computers 54(4): 409-420 (2005)
48EEJingcao Hu, Radu Marculescu: Energy- and performance-aware mapping for regular NoC architectures. IEEE Trans. on CAD of Integrated Circuits and Systems 24(4): 551-562 (2005)
2004
47 Miguel Miranda, Radu Marculescu: Proceedings of the 2002 2nd Workshop on Embedded Systems for Real-Time Multimedia, ESTImedia 2004, September 6-7, Stockholm, Sweden IEEE Computer Society 2004
46EETudor Dumitras, Sam Kerner, Radu Marculescu: Enabling on-chip diversity through architectural communication design. ASP-DAC 2004: 799-805
45EEJingcao Hu, Radu Marculescu: DyAD: smart routing for networks-on-chip. DAC 2004: 260-263
44EEXiaoping Hu, Radu Marculescu: Adaptive data partitioning for ambient multimedia. DAC 2004: 562-565
43EERadu Marculescu, Massoud Pedram, Jörg Henkel: Distributed Multimedia System Design: A Holistic Perspective. DATE 2004: 1342-1349
42EEZhiyuan Ren, Bruce H. Krogh, Radu Marculescu: Hierarchical Adaptive Dynamic Power Management. DATE 2004: 136-141
41EEJingcao Hu, Radu Marculescu: Energy-Aware Communication and Task Scheduling for Network-on-Chip Architectures under Real-Time Constraints. DATE 2004: 234-239
40EEJingcao Hu, Radu Marculescu: Application-specific buffer space allocation for networks-on-chip router design. ICCAD 2004: 354-361
39EERadu Marculescu, Diana Marculescu, Larry T. Pileggi: Toward an Integrated Design Methodology for Fault-Tolerant, Multiple Clock/Voltage Integrated Systems. ICCD 2004: 168-173
38 Xiaoping Hu, Ümit Y. Ogras, Nicholas H. Zamora, Radu Marculescu: Data partitioning techniques for pervasive multimedia platforms. ICME 2004: 1035-1038
37 Nicholas H. Zamora, Xiaoping Hu, Ümit Y. Ogras, Radu Marculescu: Resource-aware video processing techniques for ambient multimedia systems. ICME 2004: 1471-1474
36EEJingcao Hu, Youngsoo Shin, Nagu R. Dhanwada, Radu Marculescu: Architecting voltage islands in core-based system-on-a-chip designs. ISLPED 2004: 180-185
35EERadu Marculescu, Petru Eles: Guest Editors' Introduction: Designing Real-Time Embedded Multimedia Systems. IEEE Design & Test of Computers 21(5): 354-356 (2004)
34 Girish Varatkar, Radu Marculescu: On-chip traffic modeling and synthesis for MPEG-2 video applications. IEEE Trans. VLSI Syst. 12(1): 108-119 (2004)
2003
33 Gerhard Fohler, Radu Marculescu: First Workshop on Embedded Systems for Real-Time Multimedia, ESTImedia 2003, October 3-4, 2003, Newport Beach, California, USA, co-located with CODES-ISSS 2003, Proceedings ESTImedia 2003
32EEMenno Lindwer, Diana Marculescu, Twan Basten, Rainer Zimmermann, Radu Marculescu, Stefan Jung, Eugenio Cantatore: Ambient Intelligence Visions and Achievements: Linking Abstract Ideas to Real-World Concepts. DATE 2003: 10010-10017
31EEJingcao Hu, Radu Marculescu: Exploiting the Routing Flexibility for Energy/Performance Aware Mapping of Regular NoC Architectures. DATE 2003: 10688-10693
30EETudor Dumitras, Radu Marculescu: On-Chip Stochastic Communication. DATE 2003: 10790-10795
29EEDiana Marculescu, Nicholas H. Zamora, Phillip Stanley-Marbell, Radu Marculescu: Fault-Tolerant Techniques for Ambient Intelligent Distributed Systems. ICCAD 2003: 348-355
28EEGirish Varatkar, Radu Marculescu: Communication-Aware Task Scheduling and Voltage Selection for Total Systems Energy Minimization. ICCAD 2003: 510-517
27EERadu Marculescu: Networks-On-Chip: The Quest for On-Chip Fault-Tolerant Communication. ISVLSI 2003: 8-12
26 Radu Marculescu: Designing Application Specific Networks-On-Chip: Five easy pieces. VLSI-SOC 2003: 5
25EEPhillip Stanley-Marbell, Diana Marculescu, Radu Marculescu, Pradeep K. Khosla: Modeling, Analysis, and Self-Management of Electronic Textiles. IEEE Trans. Computers 52(8): 996-1010 (2003)
2002
24EEDiana Marculescu, Radu Marculescu, Pradeep K. Khosla: Challenges and opportunities in electronic textiles modeling and optimization. DAC 2002: 175-180
23EEGirish Varatkar, Radu Marculescu: Traffic analysis for on-chip networks design of multimedia applications. DAC 2002: 795-800
22EERadu Marculescu, Diana Marculescu: Does Q=MC2? (On the Relationship between Quality in Electronic Design and the Model of Colloidal Computing, invited). ISQED 2002: 451-457
21EEJingcao Hu, Yangdong Deng, Radu Marculescu: System-Level Point-to-Point Communication Synthesis using Floorplanning Information. VLSI Design 2002: 573-579
20EERadu Marculescu: Guest Editorial: Power Modeling, Estimation and Optimization in VLSI Systems. Journal of Circuits, Systems, and Computers 11(6): (2002)
2001
19EEAmit Nandi, Radu Marculescu: System-Level Power/Performance Analysis for Embedded Systems Design. DAC 2001: 599-604
18EERadu Marculescu, Amit Nandi: Probabilistic application modeling for system-level perfromance analysis. DATE 2001: 572-579
17EERadu Marculescu, Amit Nandi, Luciano Lavagno, Alberto L. Sangiovanni-Vincentelli: System-Level Power/Performance Analysis of Portable Multimedia Systems Communicating over Wireless Channels. ICCAD 2001: 207-
2000
16EEDiana Marculescu, Radu Marculescu, Massoud Pedram: Stochastic sequential machine synthesis with application to constrained sequence generation. ACM Trans. Design Autom. Electr. Syst. 5(3): 658-681 (2000)
15EEDiana Marculescu, Radu Marculescu, Massoud Pedram: Theoretical bounds for switching activity analysis in finite-state machines. IEEE Trans. VLSI Syst. 8(3): 335-339 (2000)
1999
14EERadu Marculescu, Diana Marculescu, Massoud Pedram: Non-stationary effects in trace-driven power analysis. ISLPED 1999: 133-138
13EERadu Marculescu, Diana Marculescu, Massoud Pedram: Sequence compaction for power estimation: theory and practice. IEEE Trans. on CAD of Integrated Circuits and Systems 18(7): 973-993 (1999)
1998
12EEDiana Marculescu, Radu Marculescu, Massoud Pedram: Trace-Driven Steady-State Probability Estimation in FSMs with Application to Power Estimation. DATE 1998: 774-
11EEDiana Marculescu, Radu Marculescu, Massoud Pedram: Theoretical bounds for switching activity analysis in finite-state machines. ISLPED 1998: 36-41
10EERadu Marculescu, Diana Marculescu, Massoud Pedram: Probabilistic modeling of dependencies during switching activity analysis. IEEE Trans. on CAD of Integrated Circuits and Systems 17(2): 73-83 (1998)
1997
9EEDiana Marculescu, Radu Marculescu, Massoud Pedram: Sequence Compaction for Probabilistic Analysis of Finite-State Machines. DAC 1997: 12-15
8EERadu Marculescu, Diana Marculescu, Massoud Pedram: Hierarchical Sequence Compaction for Power Estimation. DAC 1997: 570-575
7EERadu Marculescu, Diana Marculescu, Massoud Pedram: Composite sequence compaction for finite-state machines using block entropy and high-order Markov models. ISLPED 1997: 190-195
1996
6EEChi-Ying Tsui, Radu Marculescu, Diana Marculescu, Massoud Pedram: Improving the Efficiency of Power Simulators by Input Vector Compaction. DAC 1996: 165-168
5EEDiana Marculescu, Radu Marculescu, Massoud Pedram: Stochastic Sequential Machine Synthesis Targeting Constrained Sequence Generation. DAC 1996: 696-701
4EEDiana Marculescu, Radu Marculescu, Massoud Pedram: Information theoretic measures for power analysis [logic design]. IEEE Trans. on CAD of Integrated Circuits and Systems 15(6): 599-610 (1996)
1995
3EERadu Marculescu, Diana Marculescu, Massoud Pedram: Efficient Power Estimation for Highly Correlated Input Streams. DAC 1995: 628-634
2EEDiana Marculescu, Radu Marculescu, Massoud Pedram: Information theoretic measures of energy consumption at register transfer level. ISLPD 1995: 81-86
1994
1EERadu Marculescu, Diana Marculescu, Massoud Pedram: Switching activity analysis considering spatiotemporal correlations. ICCAD 1994: 294-299

Coauthor Index

1Twan Basten [32]
2Paul Bogdan [75]
3Eugenio Cantatore [32]
4Samarjit Chakraborty [59]
5Naehyuck Chang [60] [63] [68]
6Tsuhan Chen [82]
7Chen-Ling Chou [77] [79] [83] [84]
8Puru Choudhary [65] [76]
9Yangdong Deng [21]
10Nagu R. Dhanwada [36]
11Tudor Dumitras [30] [46]
12Petru Eles [35]
13Gerhard Fohler [33]
14Cristian Grecu [70]
15Jörg Henkel [43]
16Yatin Vasant Hoskote [86]
17Jingcao Hu [21] [31] [36] [40] [41] [45] [48] [53] [54] [55]
18Xiaoping Hu [37] [38] [44] [69] [80]
19Ting-Chun Huang [71]
20André Ivanov [70]
21Axel Jantsch [70]
22Natalie D. Enright Jerger [86]
23Stefan Jung [32]
24Jung-Chun Kao [52] [57] [64] [66] [72] [73]
25Michael Kaufman [65]
26Sam Kerner [46]
27Pradeep K. Khosla [24] [25]
28Bruce H. Krogh [42] [49]
29Hung-Chih Lai [82]
30Luciano Lavagno [17]
31Hyung Gyu Lee [60] [63] [65] [68]
32Menno Lindwer [32]
33Yanhong Liu [59]
34Diana Marculescu [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15] [16] [22] [24] [25] [29] [32] [39] [65] [76] [85]
35Miguel Miranda [47]
36Amit Nandi [17] [18] [19]
37Peter Nelson [65]
38Borivoje Nikolic [78]
39Ümit Y. Ogras [37] [38] [50] [51] [53] [54] [55] [56] [58] [60] [62] [63] [65] [67] [68] [70] [71] [74] [76] [79] [80] [81] [85] [86]
40Partha Pratim Pande [70]
41Massoud Pedram [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15] [16] [43]
42Li-Shiuan Peh [86]
43Lawrence T. Pileggi (Larry T. Pileggi, Lawrence T. Pillage) [39]
44Jan M. Rabaey [61]
45Zhiyuan Ren [42] [49]
46Erno Salminen [70]
47Alberto L. Sangiovanni-Vincentelli [17] [61] [78]
48Marios Savvides [82]
49Youngsoo Shin [36]
50Phillip Stanley-Marbell [25] [29]
51Chi-Ying Tsui [6]
52Girish Varatkar [23] [28] [34]
53Nicholas H. Zamora [29] [37] [38] [58] [69] [73] [80]
54Rainer Zimmermann [32]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)