dblp.uni-trier.dewww.uni-trier.de

Stephen A. Edwards

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2009
52EENalini Vasudevan, Olivier Tardieu, Julian Dolby, Stephen A. Edwards: Compile-Time Analysis and Specialization of Clocks in Concurrent Programs. CC 2009: 48-62
51EENalini Vasudevan, Stephen A. Edwards: Celling SHIM: compiling deterministic concurrency to a heterogeneous multicore. SAC 2009: 1626-1631
50EECristian Soviani, Ilija Hadzic, Stephen A. Edwards: Synthesis and Optimization of Pipelined Packet Processors. IEEE Trans. on CAD of Integrated Circuits and Systems 28(2): 231-244 (2009)
2008
49EEBen Lickly, Isaac Liu, Sungjun Kim, Hiren D. Patel, Stephen A. Edwards, Edward A. Lee: Predictable programming on a precision timed architecture. CASES 2008: 137-146
48EEStephen A. Edwards, Nalini Vasudevan, Olivier Tardieu: Programming Shared Memory Multiprocessors with Deterministic Message-Passing Concurrency: Compiling SHIM to Pthreads. DATE 2008: 1498-1503
47EENalini Vasudevan, Satnam Singh, Stephen A. Edwards: A deterministic multi-way rendezvous library for haskell. IPDPS 2008: 1-12
46EENalini Vasudevan, Stephen A. Edwards: Static Deadlock Detection for the SHIM Concurrent Language. MEMOCODE 2008: 49-58
45EEStephen A. Edwards, Jia Zeng: Static elaboration of recursion for concurrent software. PEPM 2008: 71-80
44EEMarcio Buss, Daniel Brand, Vugranam C. Sreedhar, Stephen A. Edwards: Flexible pointer analysis using assign-fetch graphs. SAC 2008: 234-239
43EEOlivier Tardieu, Stephen A. Edwards: Instantaneous Transitions in Esterel. Electr. Notes Theor. Comput. Sci. 203(4): 49-64 (2008)
42EEOsama Neiroukh, Stephen A. Edwards, Xiaoyu Song: Transforming Cyclic Circuits Into Acyclic Equivalents. IEEE Trans. on CAD of Integrated Circuits and Systems 27(10): 1775-1787 (2008)
2007
41EEStephen A. Edwards, Edward A. Lee: The Case for the Precision Timed (PRET) Machine. DAC 2007: 264-265
40EEStephen A. Edwards: The Challenges of Hardware Synthesis from C-Like Languages CoRR abs/0710.4683: (2007)
39EECristian Soviani, Olivier Tardieu, Stephen A. Edwards: Optimizing Sequential Cycles Through Shannon Decomposition and Retiming. IEEE Trans. on CAD of Integrated Circuits and Systems 26(3): 456-467 (2007)
2006
38EECristian Soviani, Ilija Hadzic, Stephen A. Edwards: Synthesis of high-performance packet processing pipelines. DAC 2006: 679-682
37EECristian Soviani, Olivier Tardieu, Stephen A. Edwards: Optimizing sequential cycles through Shannon decomposition and retiming. DATE 2006: 1085-1090
36EEOlivier Tardieu, Stephen A. Edwards: Scheduling-independent threads and exceptions in SHIM. EMSOFT 2006: 142-151
35EENicholas Jun Hao Ip, Stephen A. Edwards: A Processor Extension for Cycle-Accurate Real-Time Software. EUC 2006: 449-458
34EEOsama Neiroukh, Stephen A. Edwards, Xiaoyu Song: An Efficient Algorithm for the Analysis of Cyclic Circuits. ISVLSI 2006: 303-308
33EEStephen A. Edwards, Olivier Tardieu: Efficient code generation from SHIM models. LCTES 2006: 125-134
32EEOlivier Tardieu, Stephen A. Edwards: R-SHIM: deterministic concurrency with recursion and shared variables. MEMOCODE 2006: 202
31EEStephen A. Edwards: Using program specialization to speed SystemC fixed-point simulation. PEPM 2006: 21-28
30EEStephen A. Edwards, Vimal Kapadia, Michael Halasz: Compiling Esterel into Static Discrete-Event Code. Electr. Notes Theor. Comput. Sci. 153(4): 117-131 (2006)
29EEJia Zeng, Chuck Mitchell, Stephen A. Edwards: A Domain-Specific Language for Generating Dataflow Analyzers. Electr. Notes Theor. Comput. Sci. 164(2): 103-119 (2006)
28EEStephen A. Edwards: The Challenges of Synthesizing Hardware from C-Like Languages. IEEE Design & Test of Computers 23(5): 375-386 (2006)
27EEStephen A. Edwards, Olivier Tardieu: SHIM: a deterministic model for heterogeneous embedded systems. IEEE Trans. VLSI Syst. 14(8): 854-867 (2006)
2005
26 Stephen A. Edwards, Nicolas Halbwachs, Reinhard von Hanxleden, Thomas Stauner: Synchronous Programming - SYNCHRON'04, 28. November - 3. December 2004 IBFI, Schloss Dagstuhl, Germany 2005
25EEOlivier Tardieu, Stephen A. Edwards: Approximate Reachability for Dead Code Elimination in Esterel. ATVA 2005: 323-337
24EEChristopher L. Conway, Kedar S. Namjoshi, Dennis Dams, Stephen A. Edwards: Incremental Algorithms for Inter-procedural Analysis of Safety Properties. CAV 2005: 449-461
23EEStephen A. Edwards: The Challenges of Hardware Synthesis from C-Like Languages. DATE 2005: 66-67
22EEStephen A. Edwards, Olivier Tardieu: SHIM: a deterministic model for heterogeneous embedded systems. EMSOFT 2005: 264-272
21EEJia Zeng, Stephen A. Edwards: Separate Compilation for Synchronous Modules. ICESS 2005: 129-140
20EEStephen A. Edwards, Olivier Tardieu: Deterministic receptive processes are Kahn processes. MEMOCODE 2005: 37-44
19EEMarcio Buss, Stephen A. Edwards, Bin Yao, Dan G. Waddington: Pointer Analysis for Source-to-Source Transformations. SCAM 2005: 139-150
2004
18EEJia Zeng, Cristian Soviani, Stephen A. Edwards: Generating fast code from concurrent program dependence graphs. LCTES 2004: 175-181
17EEChristopher L. Conway, Stephen A. Edwards: NDL: a domain-specific language for device drivers. LCTES 2004: 30-36
16EEStephen A. Edwards, Nicolas Halbwachs, Reinhard von Hanxleden, Thomas Stauner: 04491 Abstracts Collection - Synchronous Programming - SYNCHRON'04. SYNCHRON 2004
15EEStephen A. Edwards, Nicolas Halbwachs, Reinhard von Hanxleden, Thomas Stauner: 04491 Executive Summary - Synchronous Programming - SYNCHRON'04. SYNCHRON 2004
14EEStephen A. Edwards: SHIM: A Language for Hardware/Software Integration. SYNCHRON 2004
2003
13EEStephen A. Edwards: Making cyclic circuits acyclic. DAC 2003: 159-162
12EEStephen Jan, Paolo de Dios, Stephen A. Edwards: Porting a Network Cryptographic Service to the RMC2000: A Case Study in Embedded Software Development. DATE 2003: 20150-20157
11EESandeep K. Shukla, Jean-Pierre Talpin, Stephen A. Edwards, Rajesh K. Gupta: High Level Modeling and Validation Methodologies for Embedded Systems: Bridging the Productivity Gap. VLSI Design 2003: 9-14
10EEStephen A. Edwards: Tutorial: Compiling concurrent languages for sequential processors. ACM Trans. Design Autom. Electr. Syst. 8(2): 141-187 (2003)
9 Albert Benveniste, Paul Caspi, Stephen A. Edwards, Nicolas Halbwachs, Paul Le Guernic, Robert de Simone: The synchronous languages 12 years later. Proceedings of the IEEE 91(1): 64-83 (2003)
8EEStephen A. Edwards, Edward A. Lee: The semantics and execution of a synchronous block-diagram language. Sci. Comput. Program. 48(1): 21-42 (2003)
2002
7 Stephen A. Edwards: High-Level Synthesis from the Synchronous Language Esterel. IWLS 2002: 401-406
6EEStephen A. Edwards: An Esterel compiler for large control-dominated systems. IEEE Trans. on CAD of Integrated Circuits and Systems 21(2): 169-183 (2002)
2000
5EEStephen A. Edwards: Compiling Esterel into sequential code. DAC 2000: 322-327
1999
4EEStephen A. Edwards: Compiling Esterel into sequential code. CODES 1999: 147-151
1998
3EEGitanjali Swamy, Stephen A. Edwards, Robert K. Brayton: Efficient Verification and Synthesis using Design Commonalities. VLSI Design 1998: 542-551
1996
2 Robert K. Brayton, Gary D. Hachtel, Alberto L. Sangiovanni-Vincentelli, Fabio Somenzi, Adnan Aziz, Szu-Tsung Cheng, Stephen A. Edwards, Sunil P. Khatri, Yuji Kukimoto, Abelardo Pardo, Shaz Qadeer, Rajeev K. Ranjan, Shaker Sarwary, Thomas R. Shiple, Gitanjali Swamy, Tiziano Villa: VIS: A System for Verification and Synthesis. CAV 1996: 428-432
1 Robert K. Brayton, Gary D. Hachtel, Alberto L. Sangiovanni-Vincentelli, Fabio Somenzi, Adnan Aziz, Szu-Tsung Cheng, Stephen A. Edwards, Sunil P. Khatri, Yuji Kukimoto, Abelardo Pardo, Shaz Qadeer, Rajeev K. Ranjan, Shaker Sarwary, Thomas R. Shiple, Gitanjali Swamy, Tiziano Villa: VIS. FMCAD 1996: 248-256

Coauthor Index

1Adnan Aziz [1] [2]
2Albert Benveniste [9]
3Daniel Brand [44]
4Robert K. Brayton [1] [2] [3]
5Marcio Buss [19] [44]
6Paul Caspi [9]
7Szu-Tsung Cheng [1] [2]
8Christopher L. Conway [17] [24]
9Dennis Dams [24]
10Paolo de Dios [12]
11Julian Dolby [52]
12Paul Le Guernic [9]
13Rajesh K. Gupta (Rajesh Gupta) [11]
14Gary D. Hachtel [1] [2]
15Ilija Hadzic [38] [50]
16Michael Halasz [30]
17Nicolas Halbwachs [9] [15] [16] [26]
18Reinhard von Hanxleden [15] [16] [26]
19Nicholas Jun Hao Ip [35]
20Stephen Jan [12]
21Vimal Kapadia [30]
22Sunil P. Khatri [1] [2]
23Sungjun Kim [49]
24Yuji Kukimoto [1] [2]
25Edward A. Lee [8] [41] [49]
26Ben Lickly [49]
27Isaac Liu [49]
28Chuck Mitchell [29]
29Kedar S. Namjoshi [24]
30Osama Neiroukh [34] [42]
31Abelardo Pardo [1] [2]
32Hiren D. Patel [49]
33Shaz Qadeer [1] [2]
34Rajeev K. Ranjan [1] [2]
35Alberto L. Sangiovanni-Vincentelli [1] [2]
36Shaker Sarwary [1] [2]
37Thomas R. Shiple [1] [2]
38Sandeep K. Shukla [11]
39Robert de Simone [9]
40Satnam Singh [47]
41Fabio Somenzi [1] [2]
42Xiaoyu Song [34] [42]
43Cristian Soviani [18] [37] [38] [39] [50]
44Vugranam C. Sreedhar [44]
45Thomas Stauner [15] [16] [26]
46Gitanjali Swamy [1] [2] [3]
47Jean-Pierre Talpin [11]
48Olivier Tardieu [20] [22] [25] [27] [32] [33] [36] [37] [39] [43] [48] [52]
49Nalini Vasudevan [46] [47] [48] [51] [52]
50Tiziano Villa [1] [2]
51Dan G. Waddington [19]
52Bin Yao [19]
53Jia Zeng [18] [21] [29] [45]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)