dblp.uni-trier.dewww.uni-trier.de

Avi Ziv

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
28EEDorit Baras, Laurent Fournier, Avi Ziv: Automatic Boosting of Cross-Product Coverage Using Bayesian Networks. Haifa Verification Conference 2008: 53-67
27EEShady Copty, Shai Fine, Shmuel Ur, Elad Yom-Tov, Avi Ziv: A probabilistic alternative to regression suites. Theor. Comput. Sci. 404(3): 219-234 (2008)
2007
26 Eyal Bin, Avi Ziv, Shmuel Ur: Hardware and Software, Verification and Testing, Second International Haifa Verification Conference, HVC 2006, Haifa, Israel, October 23-26, 2006. Revised Selected Papers Springer 2007
25EEFrancine Bacchini, Alan J. Hu, Tom Fitzpatrick, Rajeev Ranjan, David Lacey, Mercedes Tan, Andrew Piziali, Avi Ziv: Verification Coverage: When is Enough, Enough? DAC 2007: 744-745
24EELaurent Fournier, Avi Ziv: Using Virtual Coverage to Hit Hard-To-Reach Events. Haifa Verification Conference 2007: 104-119
2006
23EEAmir Nahir, Avi Ziv, Roy Emek, Tal Keidar, Nir Ronen: Scheduling-based test-case generation for verification of multimedia SoCs. DAC 2006: 348-351
22EEAmir Nahir, Yossi Shiloach, Avi Ziv: Using Linear Programming Techniques for Scheduling-Based Random Test-Case Generation. Haifa Verification Conference 2006: 16-33
21EEShai Fine, Ari Freund, Itai Jaeger, Yishay Mansour, Yehuda Naveh, Avi Ziv: Harnessing Machine Learning to Improve the Success Rate of Stimuli Generation. IEEE Trans. Computers 55(11): 1344-1355 (2006)
20EEHezi Azatchi, Laurent Fournier, Eitan Marcus, Shmuel Ur, Avi Ziv, Keren Zohar: Advanced Analysis Techniques for Cross-Product Coverage. IEEE Trans. Computers 55(11): 1367-1379 (2006)
2004
19EESigal Asaf, Eitan Marcus, Avi Ziv: Defining coverage views to improve functional coverage analysis. DAC 2004: 41-44
18EEShai Fine, Shmuel Ur, Avi Ziv: Probabilistic regression suites for functional verification. DAC 2004: 49-54
17EEAvi Ziv: Stimuli Generation with Late Binding of Values. DATE 2004: 558-563
16 Shady Copty, Shai Fine, Shmuel Ur, Avi Ziv: Probabilistic Alternative Regression Suites. ISoLA (Preliminary proceedings) 2004: 89-91
15EEAllon Adir, Eli Almog, Laurent Fournier, Eitan Marcus, Michal Rimon, Michael Vinov, Avi Ziv: Genesys-Pro: Innovations in Test Program Generation for Functional Processor Verification. IEEE Design & Test of Computers 21(2): 84-93 (2004)
2003
14EEShai Fine, Avi Ziv: Coverage directed test generation for functional verification using bayesian networks. DAC 2003: 286-291
13EEAvi Ziv: Cross-Product Functional Coverage Measurement with Temporal Properties-Based Assertions . DATE 2003: 10834-10841
12EEAvi Ziv, Merav Aharoni, Sigal Asaf: Solving Range Constraints for Binary Floating-Point Instructions. IEEE Symposium on Computer Arithmetic 2003: 158-164
11 Avi Ziv, Laurent Fournier: Solving the generalized mask constraint for test generation of binary floating point add operation. Theor. Comput. Sci. 291(2): 183-201 (2003)
2002
10EEOded Lachish, Eitan Marcus, Shmuel Ur, Avi Ziv: Hole analysis for functional coverage data. DAC 2002: 807-812
9EEEyal Bin, Roy Emek, Gil Shurek, Avi Ziv: Using a constraint satisfaction formulation and solution techniques for random test program generation. IBM Systems Journal 41(3): 386-402 (2002)
2001
8 Gilly Nativ, Steven Mittermaier, Shmuel Ur, Avi Ziv: Cost evaluation of coverage directed test generation for the IBM mainframe. ITC 2001: 793-802
1998
7EERaanan Grinwald, Eran Harel, Michael Orgad, Shmuel Ur, Avi Ziv: User Defined Coverage - A Tool Supported Methodology for Design Verification. DAC 1998: 158-163
6EEYossi Malka, Avi Ziv: Design Reliability - Estimation through Statistical Analysis of Bug Discovery Data. DAC 1998: 644-649
5 Avi Ziv, Jehoshua Bruck: Analysis of Checkpointing Schemes with Task Duplication. IEEE Trans. Computers 47(2): 222-227 (1998)
1997
4 Avi Ziv, Jehoshua Bruck: Performance Optimization of Checkpointing Schemes with Task Duplication. IEEE Trans. Computers 46(12): 1381-1386 (1997)
3 Avi Ziv, Jehoshua Bruck: An On-Line Algorithm for Checkpoint Placement. IEEE Trans. Computers 46(9): 976-985 (1997)
1994
2EESanko Lan, Avi Ziv, Abbas El Gamal: Placement and Routing for a Field Programmable Multi-Chip Module. DAC 1994: 295-300
1 Avi Ziv, Jehoshua Bruck: Analysis of Checkpointing Schemes for Multiprocessor Systems. SRDS 1994: 52-61

Coauthor Index

1Allon Adir [15]
2Merav Aharoni [12]
3Eli Almog [15]
4Sigal Asaf [12] [19]
5Hezi Azatchi [20]
6Francine Bacchini [25]
7Dorit Baras [28]
8Eyal Bin [9] [26]
9Jehoshua Bruck [1] [3] [4] [5]
10Shady Copty [16] [27]
11Roy Emek [9] [23]
12Shai Fine [14] [16] [18] [21] [27]
13Tom Fitzpatrick [25]
14Laurent Fournier [11] [15] [20] [24] [28]
15Ari Freund [21]
16Abbas El Gamal (Abbas A. El Gamal) [2]
17Raanan Grinwald [7]
18Eran Harel [7]
19Alan J. Hu [25]
20Itai Jaeger [21]
21Tal Keidar [23]
22David Lacey [25]
23Oded Lachish [10]
24Sanko Lan [2]
25Yossi Malka [6]
26Yishay Mansour [21]
27Eitan Marcus [10] [15] [19] [20]
28Steven Mittermaier [8]
29Amir Nahir [22] [23]
30Gilly Nativ [8]
31Yehuda Naveh [21]
32Michael Orgad [7]
33Andrew Piziali [25]
34Rajeev Ranjan [25]
35Michal Rimon [15]
36Nir Ronen [23]
37Yossi Shiloach [22]
38Gil Shurek [9]
39Mercedes Tan [25]
40Shmuel Ur [7] [8] [10] [16] [18] [20] [26] [27]
41Michael Vinov [15]
42Elad Yom-Tov [27]
43Keren Zohar [20]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)