dblp.uni-trier.dewww.uni-trier.de

Rouwaida Kanj

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2009
14EERouwaida Kanj, Rajiv V. Joshi, Jente B. Kuang, J. Kim, Mesut Meterelliyoz, W. Reohr, Sani R. Nassif, Kevin J. Nowka: Statistical yield analysis of silicon-on-insulator embedded DRAM. ISQED 2009: 190-194
13EEYing Zhou, Rouwaida Kanj, Kanak Agarwal, Zhuo Li, Rajiv V. Joshi, Sani R. Nassif, Weiping Shi: The impact of BEOL lithography effects on the SRAM cell performance and yield. ISQED 2009: 607-612
2008
12EERouwaida Kanj, Rajiv V. Joshi, Zhou Li, Jente B. Kuang, Hung C. Ngo, Ying Zhou, Weiping Shi, Sani R. Nassif: SRAM methodology for yield and power efficiency: per-element selectable supplies and memory reconfiguration schemes. ISLPED 2008: 87-92
11EERouwaida Kanj, Rajiv V. Joshi, Keunwoo Kim, Richard Williams, Sani R. Nassif: Statistical Evaluation of Split Gate Opportunities for Improved 8T/6T Column-Decoupled SRAM Cell Yield. ISQED 2008: 702-707
10EERouwaida Kanj, Zhuo Li, Rajiv V. Joshi, Frank Liu, Sani R. Nassif: A Root-Finding Method for Assessing SRAM Stability. ISQED 2008: 804-809
2007
9EERajiv V. Joshi, Rouwaida Kanj, Keunwoo Kim, Richard Q. Williams, Ching-Te Chuang: A floating-body dynamic supply boosting technique for low-voltage sram in nanoscale PD/SOI CMOS technologies. ISLPED 2007: 8-13
8EEAmin Khajeh Djahromi, Ahmed M. Eltawil, Fadi J. Kurdahi, Rouwaida Kanj: Cross Layer Error Exploitation for Aggressive Voltage Scaling. ISQED 2007: 192-197
7EERouwaida Kanj, Rajiv V. Joshi, Jayakumaran Sivagnaname, Jente B. Kuang, Dhruva Acharyya, Tuyet Nguyen, Chandler McDowell, Sani R. Nassif: Gate Leakage Effects on Yield and Design Considerations of PD/SOI SRAM Designs. ISQED 2007: 33-40
2006
6EERouwaida Kanj, Rajiv V. Joshi, Sani R. Nassif: Mixture importance sampling and its application to the analysis of SRAM designs in the presence of rare failure events. DAC 2006: 69-72
5EEFadi J. Kurdahi, Ahmed M. Eltawil, Young-Hwan Park, Rouwaida Kanj, Sani R. Nassif: System-Level SRAM Yield Enhancement. ISQED 2006: 179-184
4EEPraveen Elakkumanan, Jente B. Kuang, Kevin J. Nowka, Ramalingam Sridhar, Rouwaida Kanj, Sani R. Nassif: SRAM Local Bit Line Access Failure Analyses. ISQED 2006: 204-209
2004
3EERouwaida Kanj, Timothy Lehner, Bhavna Agrawal, Elyse Rosenbaum: Noise characterization of static CMOS gates. DAC 2004: 888-893
2EERouwaida Kanj, Elyse Rosenbaum: Critical evaluation of SOI design guidelines. IEEE Trans. VLSI Syst. 12(9): 885-894 (2004)
2002
1EERouwaida Kanj, Elyse Rosenbaum: A critical look at design guidelines for SOI logic gates. ISCAS (3) 2002: 261-264

Coauthor Index

1Dhruva Acharyya [7]
2Kanak Agarwal [13]
3Bhavna Agrawal [3]
4Ching-Te Chuang [9]
5Amin Khajeh Djahromi [8]
6Praveen Elakkumanan [4]
7Ahmed M. Eltawil [5] [8]
8Rajiv V. Joshi [6] [7] [9] [10] [11] [12] [13] [14]
9J. Kim [14]
10Keunwoo Kim [9] [11]
11Jente B. Kuang [4] [7] [12] [14]
12Fadi J. Kurdahi [5] [8]
13Timothy Lehner [3]
14Zhou Li [12]
15Zhuo Li [10] [13]
16Frank Liu [10]
17Chandler McDowell [7]
18Mesut Meterelliyoz [14]
19Sani R. Nassif [4] [5] [6] [7] [10] [11] [12] [13] [14]
20Hung C. Ngo [12]
21Tuyet Nguyen [7]
22Kevin J. Nowka [4] [14]
23Young-Hwan Park [5]
24W. Reohr [14]
25Elyse Rosenbaum [1] [2] [3]
26Weiping Shi [12] [13]
27Jayakumaran Sivagnaname [7]
28Ramalingam Sridhar [4]
29Richard Williams [11]
30Richard Q. Williams [9]
31Ying Zhou [12] [13]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)