dblp.uni-trier.dewww.uni-trier.de

Preeti Ranjan Panda

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
43EEPushkar Tripathi, Rohan Jain, Srikanth Kurra, Preeti Ranjan Panda: REWIRED - Register Write Inhibition by Resource Dedication. ASP-DAC 2008: 28-31
42EEB. V. N. Silpa, Anjul Patney, Tushar Krishna, Preeti Ranjan Panda, G. S. Visweswaran: Texture filter memory: a power-efficient and scalable texture memory architecture for mobile graphics processors. ICCAD 2008: 559-564
41EEPreeti Ranjan Panda: Guest Editor Introduction: Special Issue on Multiprocessor-based Embedded Systems. International Journal of Parallel Programming 36(1): 1-2 (2008)
2007
40EESrikanth Kurra, Neeraj Kumar Singh, Preeti Ranjan Panda: The impact of loop unrolling on controller delay in high level synthesis. DATE 2007: 391-396
39EERahul Jain, Preeti Ranjan Panda: An Efficient Pipelined VLSI Architecture for Lifting-Based 2D-Discrete Wavelet Transform. ISCAS 2007: 1377-1380
38EENeeraj Goel, Anshul Kumar, Preeti Ranjan Panda: Power Reduction in VLIW Processor with Compiler Driven Bypass Network. VLSI Design 2007: 233-238
37EERakesh Nalluri, Rohan Garg, Preeti Ranjan Panda: Customization of Register File Banking Architecture for Low Power. VLSI Design 2007: 239-244
36EERahul Jain, Preeti Ranjan Panda: Memory Architecture Exploration for Power-Efficient 2D-Discrete Wavelet Transform. VLSI Design 2007: 813-818
35EEAnup Gangwar, M. Balakrishnan, Preeti Ranjan Panda, Anshul Kumar: Evaluation of Bus Based Interconnect Mechanisms in Clustered VLIW Architectures. International Journal of Parallel Programming 35(6): 507-527 (2007)
2006
34EEPreeti Ranjan Panda: Abridged addressing: a low power memory addressing strategy. ASP-DAC 2006: 892-897
33EEGagan Raj Gupta, Madhur Gupta, Preeti Ranjan Panda: Rapid estimation of control delay from high-level specifications. DAC 2006: 455-458
2005
32EEAnup Gangwar, M. Balakrishnan, Preeti Ranjan Panda, Anshul Kumar: Evaluation of Bus Based Interconnect Mechanisms in Clustered VLIW Architectures. DATE 2005: 730-735
31EEVikram Singh Saun, Preeti Ranjan Panda: Extracting Exact Finite State Machines from Behavioral SystemC Descriptions. VLSI Design 2005: 280-285
2003
30EERamesh Chandra, Preeti Ranjan Panda, Jörg Henkel, Sri Parameswaran, Loganath Ramachandran: Specification and Design of Multi-Million Gate SOCs. VLSI Design 2003: 18-19
29EEJaewon Seo, Taewhan Kim, Preeti Ranjan Panda: Memory allocation and mapping in high-level synthesis - an integrated approach. IEEE Trans. VLSI Syst. 11(5): 928-938 (2003)
2002
28EEJaewon Seo, Taewhan Kim, Preeti Ranjan Panda: An integrated algorithm for memory allocation and assignment in high-level synthesis. DAC 2002: 608-611
27EEPreeti Ranjan Panda, Nikil D. Dutt: Memory Architectures for Embedded Systems-On-Chip. HiPC 2002: 647-662
26EEPreeti Ranjan Panda, Lakshmikantam Chitturi: An energy-conscious algorithm for memory port allocation. ICCAD 2002: 572-576
2001
25 Preeti Ranjan Panda, Luc Séméria, Giovanni De Micheli: Cache-efficient memory layout of aggregate data structures. ISSS 2001: 101-106
24 Preeti Ranjan Panda: SystemC. ISSS 2001: 75-80
23 Wolfgang Rosenstiel, Brian Bailey, Masahiro Fujita, Guang R. Gao, Rajesh K. Gupta, Preeti Ranjan Panda: New design paradigms. ISSS 2001: 94
22 Doris Keitel-Schulz, Norbert Wehn, Francky Catthoor, Preeti Ranjan Panda: Embedded Memories in System Design: Technology, Application, Design and Tools. VLSI Design 2001: 5-6
21EEPreeti Ranjan Panda, Francky Catthoor, Nikil D. Dutt, Koen Danckaert, Erik Brockmeyer, Chidamber Kulkarni, Arnout Vandecappelle, Per Gunnar Kjeldsberg: Data and memory optimization techniques for embedded systems. ACM Trans. Design Autom. Electr. Syst. 6(2): 149-206 (2001)
20EEPreeti Ranjan Panda, Nikil D. Dutt, Alexandru Nicolau, Francky Catthoor, Arnout Vandecappelle, Erik Brockmeyer, Chidamber Kulkarni, Eddy de Greef: Data Memory Organization and Optimizations in Application-Specific Systems. IEEE Design & Test of Computers 18(3): 56-68 (2001)
2000
19EEPreeti Ranjan Panda, Nikil D. Dutt, Alexandru Nicolau: On-chip vs. off-chip memory: the data partitioning problem in embedded processor-based systems. ACM Trans. Design Autom. Electr. Syst. 5(3): 682-704 (2000)
1999
18EEPreeti Ranjan Panda: Memory bank customization and assignment in behavioral synthesis. ICCAD 1999: 477-481
17EEPreeti Ranjan Panda, Hiroshi Nakamura, Nikil D. Dutt, Alexandru Nicolau: Augmenting Loop Tiling with Data Alignment for Improved Cache Performance. IEEE Trans. Computers 48(2): 142-149 (1999)
16EEPreeti Ranjan Panda, Nikil D. Dutt: Low-power memory mapping through reducing address bus activity. IEEE Trans. VLSI Syst. 7(3): 309-320 (1999)
15EEPreeti Ranjan Panda, Nikil D. Dutt, Alexandru Nicolau: Local memory exploration and optimization in embedded systems. IEEE Trans. on CAD of Integrated Circuits and Systems 18(1): 3-13 (1999)
1998
14EEPreeti Ranjan Panda, Nikil D. Dutt, Alexandru Nicolau: Data Cache Sizing for Embedded Processor Applications. DATE 1998: 925-926
13EEPreeti Ranjan Panda, Nikil D. Dutt, Alexandru Nicolau: Incorporating DRAM access modes into high-level synthesis. IEEE Trans. on CAD of Integrated Circuits and Systems 17(2): 96-109 (1998)
1997
12EEPreeti Ranjan Panda, Nikil D. Dutt, Alexandru Nicolau: Efficient utilization of scratch-pad memory in embedded processor applications. ED&TC 1997: 7-11
11EEPreeti Ranjan Panda, Nikil D. Dutt, Alexandru Nicolau: Exploiting off-chip memory access modes in high-level synthesis. ICCAD 1997: 333-340
10 Preeti Ranjan Panda, Hiroshi Nakamura, Nikil D. Dutt, Alexandru Nicolau: A Data Alignment Technique for Improving Cache Performance. ICCD 1997: 587-592
9 Preeti Ranjan Panda, Hiroshi Nakamura, Nikil D. Dutt, Alexandru Nicolau: Improving cache Performance Through Tiling and Data Alignment. IRREGULAR 1997: 167-185
8EEPreeti Ranjan Panda, Nikil D. Dutt, Alexandru Nicolau: Architectural Exploration and Optimization of Local Memory in Embedded Systems. ISSS 1997: 90-
7EEPreeti Ranjan Panda, Nikil D. Dutt: Behavioral Array Mapping into Multiport Memories Targeting Low Power. VLSI Design 1997: 268-273
6EEPreeti Ranjan Panda, Nikil D. Dutt, Alexandru Nicolau: Memory data organization for improved cache performance in embedded processor applications. ACM Trans. Design Autom. Electr. Syst. 2(4): 384-409 (1997)
1996
5EEPreeti Ranjan Panda, Nikil D. Dutt: Low-power mapping of behavioral arrays to multiple memories. ISLPED 1996: 289-292
4EEPreeti Ranjan Panda, Nikil D. Dutt, Alexandru Nicolau: Memory Organization for Improved Data Cache Performance in Embedded Processors. ISSS 1996: 90-95
1995
3EEPreeti Ranjan Panda, Nikil D. Dutt: 1995 high level synthesis design repository. ISSS 1995: 170-174
1993
2EEBiswadip Mitra, Preeti Ranjan Panda, Parimal Pal Chaudhuri: Estimating the Complexity of Synthesized Designs from FSM Specifications. IEEE Design & Test of Computers 10(1): 30-35 (1993)
1991
1 Biswadip Mitra, Preeti Ranjan Panda, Parimal Pal Chaudhuri: A Flexible Scheme for State Assignment Based on Characteristics of the FSM. ICCAD 1991: 226-229

Coauthor Index

1Brian Bailey [23]
2M. Balakrishnan [32] [35]
3Erik Brockmeyer [20] [21]
4Francky Catthoor [20] [21] [22]
5Ramesh Chandra [30]
6Parimal Pal Chaudhuri [1] [2]
7Lakshmikantam Chitturi [26]
8Koen Danckaert [21]
9Nikil D. Dutt (Nikil Dutt) [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15] [16] [17] [19] [20] [21] [27]
10Masahiro Fujita [23]
11Anup Gangwar [32] [35]
12Guang R. Gao [23]
13Rohan Garg [37]
14Neeraj Goel [38]
15Eddy de Greef [20]
16Gagan Raj Gupta [33]
17Madhur Gupta [33]
18Rajesh K. Gupta (Rajesh Gupta) [23]
19Jörg Henkel [30]
20Rahul Jain [36] [39]
21Rohan Jain [43]
22Doris Keitel-Schulz [22]
23Taewhan Kim [28] [29]
24Per Gunnar Kjeldsberg [21]
25Tushar Krishna [42]
26Chidamber Kulkarni [20] [21]
27Anshul Kumar [32] [35] [38]
28Srikanth Kurra [40] [43]
29Giovanni De Micheli [25]
30Biswadip Mitra [1] [2]
31Hiroshi Nakamura [9] [10] [17]
32Rakesh Nalluri [37]
33Alexandru Nicolau (Alex Nicolau) [4] [6] [8] [9] [10] [11] [12] [13] [14] [15] [17] [19] [20]
34Sri Parameswaran [30]
35Anjul Patney [42]
36Loganath Ramachandran [30]
37Wolfgang Rosenstiel [23]
38Vikram Singh Saun [31]
39Luc Séméria [25]
40Jaewon Seo [28] [29]
41B. V. N. Silpa [42]
42Neeraj Kumar Singh [40]
43Pushkar Tripathi [43]
44Arnout Vandecappelle [20] [21]
45G. S. Visweswaran [42]
46Norbert Wehn [22]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)