dblp.uni-trier.dewww.uni-trier.de

Chandu Visweswariah

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
16EERuiming Chen, Lizheng Zhang, Vladimir Zolotov, Chandu Visweswariah, Jinjun Xiong: Static timing: Back to our roots. ASP-DAC 2008: 310-315
15EEJinjun Xiong, Vladimir Zolotov, Chandu Visweswariah: Incremental Criticality and Yield Gradients. DATE 2008: 1130-1135
14EEJinjun Xiong, Vladimir Zolotov, Chandu Visweswariah, Peter A. Habitz: Optimal Margin Computation for At-Speed Test. DATE 2008: 622-627
13EEVladimir Zolotov, Jinjun Xiong, Hanif Fatemi, Chandu Visweswariah: Statistical path selection for at-speed test. ICCAD 2008: 624-631
12EEChandu Visweswariah: Plenary Speech 2P2: Statistical Techniques to Achieve Robustness and Quality. ISQED 2008: 586
11EEHoward Chen, Scott Neely, Jinjun Xiong, Vladimir Zolotov, Chandu Visweswariah: Statistical Modeling and Analysis of Static Leakage and Dynamic Switching Power. PATMOS 2008: 178-187
2007
10EEVikram Iyengar, Jinjun Xiong, Subbayyan Venkatesan, Vladimir Zolotov, David E. Lackey, Peter A. Habitz, Chandu Visweswariah: Variation-aware performance verification using at-speed structural test and statistical timing. ICCAD 2007: 405-412
9EEVladimir Zolotov, Jinjun Xiong, S. Abbaspour, David J. Hathaway, Chandu Visweswariah: Compact modeling of variational waveforms. ICCAD 2007: 705-712
8EEChandu Visweswariah: Fear, uncertainty and statistics. ISPD 2007: 169
2006
7EEJinjun Xiong, Vladimir Zolotov, Natesan Venkateswaran, Chandu Visweswariah: Criticality computation in parameterized statistical timing. DAC 2006: 63-68
6EEChandu Visweswariah: Statistical analysis and optimization in the presence of gate and interconnect delay variations. SLIP 2006: 37
2005
5EEHongliang Chang, Vladimir Zolotov, Sambasivan Narayan, Chandu Visweswariah: Parameterized block-based statistical timing analysis with non-gaussian parameters, nonlinear delay functions. DAC 2005: 71-76
4 Matthew R. Guthaus, Natesan Venkateswaran, Chandu Visweswariah, Vladimir Zolotov: Gate sizing using incremental parameterized statistical timing analysis. ICCAD 2005: 1029-1036
2004
3EEChandu Visweswariah: Statistical analysis and design: from picoseconds to probabilities. SBCCI 2004: 2
2003
2EEChandu Visweswariah: Death, taxes and failing chips. DAC 2003: 343-347
1EEJurjen Westra, Dirk-Jan Jongeneel, Ralph H. J. M. Otten, Chandu Visweswariah: Time Budgeting in a Wireplanning Context. DATE 2003: 10436-10441

Coauthor Index

1S. Abbaspour [9]
2Hongliang Chang [5]
3Howard Chen [11]
4Ruiming Chen [16]
5Hanif Fatemi [13]
6Matthew R. Guthaus [4]
7Peter A. Habitz [10] [14]
8David J. Hathaway [9]
9Vikram Iyengar [10]
10Dirk-Jan Jongeneel [1]
11David E. Lackey [10]
12Sambasivan Narayan [5]
13Scott Neely [11]
14Ralph H. J. M. Otten [1]
15Subbayyan Venkatesan [10]
16Natesan Venkateswaran [4] [7]
17Jurjen Westra [1]
18Jinjun Xiong [7] [9] [10] [11] [13] [14] [15] [16]
19Lizheng Zhang [16]
20Vladimir Zolotov [4] [5] [7] [9] [10] [11] [13] [14] [15] [16]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)