dblp.uni-trier.dewww.uni-trier.de

Evangeline F. Y. Young

F. Y. Young, Fung Yu Young

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo
Home Page

2009
51EEChiu-Wing Sham, Evangeline F. Y. Young, Jingwei Lu: Congestion prediction in early stages of physical design. ACM Trans. Design Autom. Electr. Syst. 14(1): (2009)
2008
50EETilen Ma, Evangeline F. Y. Young: TCG-based multi-bend bus driven floorplanning. ASP-DAC 2008: 192-197
49EEQiang Ma, Evangeline F. Y. Young: Network flow-based power optimization under timing constraints in MSV-driven floorplanning. ICCAD 2008: 1-8
48EELiang Li, Evangeline F. Y. Young: Obstacle-avoiding rectilinear Steiner tree construction. ICCAD 2008: 523-528
47EERenshen Wang, Evangeline F. Y. Young, Yi Zhu, Fan Chung Graham, Ronald L. Graham, Chung-Kuan Cheng: 3-D floorplanning using labeled tree and dual sequences. ISPD 2008: 54-59
46EEEvangeline F. Y. Young: Slicing Floorplan Orientation. Encyclopedia of Algorithms 2008
45EEChiu-Wing Sham, Evangeline F. Y. Young, Hai Zhou: Optimizing wirelength and routability by searching alternative packings in floorplanning. ACM Trans. Design Autom. Electr. Syst. 13(1): (2008)
44EEJill H. Y. Law, Evangeline F. Y. Young: Multi-bend bus driven floorplanning. Integration 41(2): 306-316 (2008)
2007
43EEQiang Ma, Evangeline F. Y. Young, K. P. Pun: Analog placement with common centroid constraints. ICCAD 2007: 579-585
42EEQiang Ma, Evangeline F. Y. Young: Voltage island-driven floorplanning. ICCAD 2007: 644-649
41EEChiu-Wing Sham, Evangeline F. Y. Young: Area reduction by deadspace utilization on interconnect optimized floorplan. ACM Trans. Design Autom. Electr. Syst. 12(1): (2007)
40EEDennis K. Y. Tong, Evangeline F. Y. Young, Chris C. N. Chu, Sampath Dechu: Wire Retiming Problem With Net Topology Optimization. IEEE Trans. on CAD of Integrated Circuits and Systems 26(9): 1648-1660 (2007)
2006
39EEJill H. Y. Law, Evangeline F. Y. Young, Royce L. S. Ching: Block alignment in 3D floorplan using layered TCG. ACM Great Lakes Symposium on VLSI 2006: 376-380
38EERoyce L. S. Ching, Evangeline F. Y. Young: Shuttle mask floorplanning with modified alpha-restricted grid. ACM Great Lakes Symposium on VLSI 2006: 85-90
37EEChiu-Wing Sham, Evangeline F. Y. Young, Chris C. N. Chu: Optimal cell flipping in placement and floorplanning. DAC 2006: 1109-1114
36EEQiang Xu, Baosheng Wang, F. Y. Young: Retention-Aware Test Scheduling for BISTed Embedded SRAMs. European Test Symposium 2006: 83-88
35EEYiu-Cheong Tam, Evangeline F. Y. Young, Chris C. N. Chu: Analog placement with symmetry and other placement constraints. ICCAD 2006: 349-354
34EERoyce L. S. Ching, Evangeline F. Y. Young, Kevin C. K. Leung, Chris C. N. Chu: Post-placement voltage island generation. ICCAD 2006: 641-646
2005
33EEChiu-Wing Sham, Evangeline F. Y. Young: Congestion prediction in floorplanning. ASP-DAC 2005: 1107-1110
32EEJill H. Y. Law, Evangeline F. Y. Young: Multi-bend bus driven floorplanning. ISPD 2005: 113-120
31EEChiu-Wing Sham, Evangeline F. Y. Young: Congestion prediction in early stages. SLIP 2005: 91-98
2004
30EEDennis K. Y. Tong, Evangeline F. Y. Young: Performance-driven register insertion in placement. ISPD 2004: 53-60
29EEEvangeline F. Y. Young, Chris C. N. Chu, M. L. Ho: Placement constraints in floorplan design. IEEE Trans. VLSI Syst. 12(7): 735-745 (2004)
28EEChris C. N. Chu, Evangeline F. Y. Young: Nonrectangular shaping and sizing of soft modules for floorplan-design improvement. IEEE Trans. on CAD of Integrated Circuits and Systems 23(1): 71-79 (2004)
2003
27EEEric S. H. Wong, Evangeline F. Y. Young, Wai-Kei Mak: Clustering based acyclic multi-way partitioning. ACM Great Lakes Symposium on VLSI 2003: 203-206
26EESteve T. W. Lai, Evangeline F. Y. Young, Chris C. N. Chu: A New and Efficient Congestion Evaluation Model in Floorplanning: Wire Density Control with Twin Binary Trees. DATE 2003: 10856-10861
25EEChris C. N. Chu, Evangeline F. Y. Young, Dennis K. Y. Tong, Sampath Dechu: Retiming with Interconnect and Gate Delay. ICCAD 2003: 221-226
24EEEvangeline F. Y. Young, Chris C. N. Chu, Zion Cien Shen: Twin binary sequences: a nonredundant representation for general nonslicing floorplan. IEEE Trans. on CAD of Integrated Circuits and Systems 22(4): 457-469 (2003)
23EEChiu-Wing Sham, Evangeline F. Y. Young: Routability-driven floorplanner with buffer block planning. IEEE Trans. on CAD of Integrated Circuits and Systems 22(4): 470-480 (2003)
22EEWing Seung Yuen, Evangeline F. Y. Young: Slicing floorplan with clustering constraint. IEEE Trans. on CAD of Integrated Circuits and Systems 22(5): 652-658 (2003)
21EEWai-Kei Mak, Evangeline F. Y. Young: Temporal logic replication for dynamically reconfigurable FPGA partitioning. IEEE Trans. on CAD of Integrated Circuits and Systems 22(7): 952-959 (2003)
2002
20EEChris C. N. Chu, Evangeline F. Y. Young: Non-Rectangular Shaping and Sizing of Soft Modules in Floorplan Design. DATE 2002: 1101
19EEWai-Chiu Wong, Chiu-Wing Sham, Evangeline F. Y. Young: Congestion Estimation with Buffer Planning in Floorplan Design. DATE 2002: 696-701
18EEWai-Kei Mak, Evangeline F. Y. Young: Temporal logic replication for dynamically reconfigurable FPGA partitioning. ISPD 2002: 190-195
17EEEvangeline F. Y. Young, Chris C. N. Chu, Zion Cien Shen: Twin binary sequences: a non-redundant representation for general non-slicing floorplan. ISPD 2002: 196-201
16EEChiu-Wing Sham, Evangeline F. Y. Young: Routability driven floorplanner with buffer block planning. ISPD 2002: 50-55
15EEEvangeline F. Y. Young, Chris C. N. Chu, M. L. Ho: A Unified Method to Handle Different Kinds of Placement Constraints in Floorplan Design. VLSI Design 2002: 661-
2001
14EEWing Seung Yuen, Fung Yu Young: Slicing floorplan with clustering constraints. ASP-DAC 2001: 503-508
13EEEvangeline F. Y. Young, Chris C. N. Chu, W. S. Luk, Y. C. Wong: Handling soft modules in general nonslicing floorplan usingLagrangian relaxation. IEEE Trans. on CAD of Integrated Circuits and Systems 20(5): 687-692 (2001)
12EEEvangeline F. Y. Young, Martin D. F. Wong, Hannah Honghua Yang: On extending slicing floorplan to handle L/T-shaped modules andabutment constraints. IEEE Trans. on CAD of Integrated Circuits and Systems 20(6): 800-807 (2001)
2000
11EEFung Yu Young, Chris C. N. Chu, W. S. Luk, Y. C. Wong: Floorplan area minimization using Lagrangian relaxation. ISPD 2000: 174-179
10EEEvangeline F. Y. Young, Martin D. F. Wong, Hannah Honghua Yang: Slicing floorplans with range constraint. IEEE Trans. on CAD of Integrated Circuits and Systems 19(2): 272-278 (2000)
1999
9EEFung Yu Young, D. F. Wong: Slicing Floorplans with Boundary Constraint. ASP-DAC 1999: 17-20
8EEHung-Ming Chen, Hai Zhou, Fung Yu Young, D. F. Wong, Hannah Honghua Yang, Naveed A. Sherwani: Integrated floorplanning and interconnect planning. ICCAD 1999: 354-357
7EEFung Yu Young, D. F. Wong: Slicing floorplans with range constraint. ISPD 1999: 97-102
6EEEvangeline F. Y. Young, Martin D. F. Wong, Hannah Honghua Yang: Slicing floorplans with boundary constraints. IEEE Trans. on CAD of Integrated Circuits and Systems 18(9): 1385-1389 (1999)
5EEFung Yu Young, Chris C. N. Chu, D. F. Wong: Generation of Universal Series-Parallel Boolean Functions. J. ACM 46(3): 416-435 (1999)
1998
4EEFung Yu Young, D. F. Wong: Slicing floorplans with pre-placed modules. ICCAD 1998: 252-258
1997
3 Fung Yu Young, D. F. Wong: On the Construction of Universal Series-Parallel Functions for Logic Module Design. ICCD 1997: 482-488
2EEFung Yu Young, D. F. Wong: How good are slicing floorplans?. ISPD 1997: 144-149
1EEF. Y. Young, D. F. Wong: How good are slicing floorplans? Integration 23(1): 61-73 (1997)

Coauthor Index

1Hung-Ming Chen [8]
2Chung-Kuan Cheng [47]
3Royce L. S. Ching [34] [38] [39]
4Chris C. N. Chu (Chris Chong-Nuen Chu) [5] [11] [13] [15] [17] [20] [24] [25] [26] [28] [29] [34] [35] [37] [40]
5Fan R. K. Chung (Fan Chung Graham) [47]
6Sampath Dechu [25] [40]
7Ronald L. Graham [47]
8M. L. Ho [15] [29]
9Steve T. W. Lai [26]
10Jill H. Y. Law [32] [39] [44]
11Kevin C. K. Leung [34]
12Liang Li [48]
13Jingwei Lu [51]
14W. S. Luk [11] [13]
15Qiang Ma (Ma Qiang) [42] [43] [49]
16Tilen Ma [50]
17Wai-Kei Mak [18] [21] [27]
18K. P. Pun [43]
19Chiu-Wing Sham [16] [19] [23] [31] [33] [37] [41] [45] [51]
20Zion Cien Shen [17] [24]
21Naveed A. Sherwani [8]
22Yiu-Cheong Tam [35]
23Dennis K. Y. Tong [25] [30] [40]
24Baosheng Wang [36]
25Renshen Wang [47]
26Eric S. H. Wong [27]
27Martin D. F. Wong (D. F. Wong) [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [12]
28Wai-Chiu Wong [19]
29Y. C. Wong [11] [13]
30Qiang Xu [36]
31Hannah Honghua Yang (Honghua Yang) [6] [8] [10] [12]
32Wing Seung Yuen [14] [22]
33Hai Zhou [8] [45]
34Yi Zhu [47]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)