dblp.uni-trier.dewww.uni-trier.de

Diana Marculescu

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2009
81EESebastian Herbert, Diana Marculescu: Variation-aware dynamic voltage/frequency scaling. HPCA 2009: 301-312
80EESiddharth Garg, Diana Marculescu: 3D-GCP: An analytical model for the impact of process variations on the critical path delay distribution of 3D ICs. ISQED 2009: 147-155
79EENatasa Miskov-Zivanov, Diana Marculescu: A systematic approach to modeling and analysis of transient faults in logic circuits. ISQED 2009: 408-413
2008
78EEKai-Chiang Wu, Diana Marculescu: Soft error rate reduction using redundancy addition and removal. ASP-DAC 2008: 559-564
77EESiddharth Garg, Diana Marculescu: System-level mitigation of WID leakage power variability using body-bias islands. CODES+ISSS 2008: 273-278
76EESebastian Herbert, Diana Marculescu: Characterizing chip-multiprocessor variability-tolerance. DAC 2008: 313-318
75EEÜmit Y. Ogras, Radu Marculescu, Diana Marculescu: Variation-adaptive feedback control for networks-on-chip with multiple clock domains. DAC 2008: 614-619
74EEDiana Marculescu, Sani R. Nassif: Design Variability: Challenges and Solutions at Microarchitecture-Architecture Level. DATE 2008
73EENatasa Miskov-Zivanov, Kai-Chiang Wu, Diana Marculescu: Process variability-aware transient fault modeling and analysis. ICCAD 2008: 685-690
72EEKai-Chiang Wu, Diana Marculescu: Power-aware soft error hardening via selective voltage scaling. ICCD 2008: 301-306
71EESiddharth Garg, Diana Marculescu: System-level throughput analysis for process variation aware multiple voltage-frequency island designs. ACM Trans. Design Autom. Electr. Syst. 13(4): (2008)
70EEDiana Marculescu, Jörg Henkel: Guest Editorial Special Section on Low-Power Electronics and Design. IEEE Trans. VLSI Syst. 16(6): 609-610 (2008)
69EENatasa Miskov-Zivanov, Diana Marculescu: Modeling and Optimization for Soft-Error Reliability of Sequential Circuits. IEEE Trans. on CAD of Integrated Circuits and Systems 27(5): 803-816 (2008)
68EEDiana Marculescu, Siddharth Garg: Process-Driven Variability Analysis of Single and Multiple Voltage-Frequency Island Latency-Constrained Systems. IEEE Trans. on CAD of Integrated Circuits and Systems 27(5): 893-905 (2008)
2007
67 Diana Marculescu, Anand Raghunathan, Ali Keshavarzi, Vijaykrishnan Narayanan: Proceedings of the 2007 International Symposium on Low Power Electronics and Design, 2007, Portland, OR, USA, August 27-29, 2007 ACM 2007
66EESiddharth Garg, Diana Marculescu: On the impact of manufacturing process variations on the lifetime of sensor networks. CODES+ISSS 2007: 203-208
65EEÜmit Y. Ogras, Radu Marculescu, Puru Choudhary, Diana Marculescu: Voltage-Frequency Island Partitioning for GALS-based Networks-on-Chip. DAC 2007: 110-115
64EENatasa Miskov-Zivanov, Diana Marculescu: Soft error rate analysis for sequential circuits. DATE 2007: 1436-1441
63EEPhillip Stanley-Marbell, Diana Marculescu: An 0.9 × 1.2", low power, energy-harvesting system with custom multi-channel communication interface. DATE 2007: 15-20
62EESiddharth Garg, Diana Marculescu: Interactive presentation: System-level process variation driven throughput analysis for single and multiple voltage-frequency island designs. DATE 2007: 403-408
61EEPhillip Stanley-Marbell, Diana Marculescu: Sunflower : Full-System, Embedded Microarchitecture Evaluation. HiPEAC 2007: 168-182
60EESebastian Herbert, Diana Marculescu: Analysis of dynamic voltage/frequency scaling in chip-multiprocessors. ISLPED 2007: 38-43
59EENatasa Miskov-Zivanov, Diana Marculescu: MARS-S: Modeling and Reduction of Soft Errors in Sequential Circuits. ISQED 2007: 893-898
58EEDiana Marculescu: Energy Bounds for Fault-Tolerant Nanoscale Designs CoRR abs/0710.4680: (2007)
57EER. Iris Bahar, Dan W. Hammerstrom, Justin E. Harlow III, William H. Joyner Jr., Clifford Lau, Diana Marculescu, Alex Orailoglu, Massoud Pedram: Architectures for Silicon Nanoelectronics and Beyond. IEEE Computer 40(1): 25-33 (2007)
56EEÜmit Y. Ogras, Radu Marculescu, Hyung Gyu Lee, Puru Choudhary, Diana Marculescu, Michael Kaufman, Peter Nelson: Challenges and Promising Results in NoC Prototyping Using FPGAs. IEEE Micro 27(5): 86-95 (2007)
2006
55 Wolfgang Nebel, Mircea R. Stan, Anand Raghunathan, Jörg Henkel, Diana Marculescu: Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006 ACM 2006
54EEPuru Choudhary, Diana Marculescu: Hardware based frequency/voltage control of voltage frequency island systems. CODES+ISSS 2006: 34-39
53EENatasa Miskov-Zivanov, Diana Marculescu: MARS-C: modeling and reduction of soft errors in combinational circuits. DAC 2006: 767-772
52EEDiana Marculescu, Siddharth Garg: System-level process-driven variability analysis for single and multiple voltage-frequency island systems. ICCAD 2006: 541-546
51EEChan-Hao Chang, Diana Marculescu: Design and Analysis of a Low Power VLIW DSP Core. ISVLSI 2006: 167-172
50EENatasa Miskov-Zivanov, Diana Marculescu: Circuit Reliability Analysis Using Symbolic Techniques. IEEE Trans. on CAD of Integrated Circuits and Systems 25(12): 2638-2649 (2006)
2005
49EEKoushik Niyogi, Diana Marculescu: Speed and voltage selection for GALS systems based on voltage/frequency islands. ASP-DAC 2005: 292-297
48EEDiana Marculescu, Emil Talpes: Variability and energy awareness: a microarchitecture-level perspective. DAC 2005: 11-16
47EEDiana Marculescu: Energy Bounds for Fault-Tolerant Nanoscale Designs. DATE 2005: 74-79
46EEEmil Talpes, Diana Marculescu: Increased Scalability and Power Efficiency by Using Multiple Speed Pipelines. ISCA 2005: 310-321
45EEKoushik Niyogi, Diana Marculescu: System level power and performance modeling of GALS point-to-point communication interfaces. ISLPED 2005: 381-386
44EEPhilip Koopman, Howie Choset, Rajeev Gandhi, Bruce H. Krogh, Diana Marculescu, Priya Narasimhan, JoAnn M. Paul, Ragunathan Rajkumar, Daniel P. Siewiorek, Asim Smailagic, Peter Steenkiste, Donald E. Thomas, Chenxi Wang: Undergraduate embedded system education at Carnegie Mellon. ACM Trans. Embedded Comput. Syst. 4(3): 500-528 (2005)
43EEDiana Marculescu, Emil Talpes: Energy Awareness and Uncertainty in Microarchitecture-Level Design. IEEE Micro 25(5): 64-76 (2005)
42EEEmil Talpes, Diana Marculescu: Execution cache-based microarchitecture for power-efficient superscalar processors. IEEE Trans. VLSI Syst. 13(1): 14-26 (2005)
41EEEmil Talpes, Diana Marculescu: Toward a multiple clock/voltage island design style for power-aware processors. IEEE Trans. VLSI Syst. 13(5): 591-603 (2005)
40EESteve Haga, Natasha Reeves, Rajeev Barua, Diana Marculescu: Dynamic Functional Unit Assignment for Low Power. The Journal of Supercomputing 31(1): 47-62 (2005)
2004
39EEVenkata Syam P. Rapaka, Emil Talpes, Diana Marculescu: Mixed-clock issue queue design for energy aware, high-performance cores. ASP-DAC 2004: 380-383
38EEPhillip Stanley-Marbell, Diana Marculescu: Local Decisions and Triggering Mechanisms for Adaptive Fault-Tolerance. DATE 2004: 968-973
37EERadu Marculescu, Diana Marculescu, Larry T. Pileggi: Toward an Integrated Design Methodology for Fault-Tolerant, Multiple Clock/Voltage Integrated Systems. ICCD 2004: 168-173
36EEDiana Marculescu: Application adaptive energy efficient clustered architectures. ISLPED 2004: 344-349
35EEEmil Talpes, Diana Marculescu: Impact of technology scaling on energy aware execution cache-based microarchitectures. ISLPED 2004: 50-53
2003
34EEMenno Lindwer, Diana Marculescu, Twan Basten, Rainer Zimmermann, Radu Marculescu, Stefan Jung, Eugenio Cantatore: Ambient Intelligence Visions and Achievements: Linking Abstract Ideas to Real-World Concepts. DATE 2003: 10010-10017
33EEVenkata Syam P. Rapaka, Diana Marculescu: Pre-Characterization Free, Efficient Power/Performance Analysis of Embedded and General Purpose Software Applications. DATE 2003: 10504-10509
32EESteve Haga, Natasha Reeves, Rajeev Barua, Diana Marculescu: Dynamic Functional Unit Assignment for Low Power. DATE 2003: 11052-11057
31EEDiana Marculescu, Nicholas H. Zamora, Phillip Stanley-Marbell, Radu Marculescu: Fault-Tolerant Techniques for Ambient Intelligent Distributed Systems. ICCAD 2003: 348-355
30EEPhillip Stanley-Marbell, Diana Marculescu: Dynamic Fault-Tolerance and Metrics for Battery Powered, Failure-Prone Systems. ICCAD 2003: 633-640
29EEEmil Talpes, Diana Marculescu: A critical analysis of application-adaptive multiple clock processors. ISLPED 2003: 278-281
28EEVenkata Syam P. Rapaka, Diana Marculescu: A mixed-clock issue queue design for globally asynchronous, locally synchronous processor cores. ISLPED 2003: 372-377
27EEPradip Bose, David H. Albonesi, Diana Marculescu: Guest Editors' Introduction: Power and Complexity Aware Design. IEEE Micro 23(5): 8-11 (2003)
26EEPhillip Stanley-Marbell, Diana Marculescu, Radu Marculescu, Pradeep K. Khosla: Modeling, Analysis, and Self-Management of Electronic Textiles. IEEE Trans. Computers 52(8): 996-1010 (2003)
2002
25EEDiana Marculescu, Radu Marculescu, Pradeep K. Khosla: Challenges and opportunities in electronic textiles modeling and optimization. DAC 2002: 175-180
24EEAnoop Iyer, Diana Marculescu: Power efficiency of voltage scaling in multiple clock, multiple voltage cores. ICCAD 2002: 379-386
23EEAnoop Iyer, Diana Marculescu: Power and Performance Evaluation of Globally Asynchronous Locally Synchronous Processors. ISCA 2002: 158-
22EERadu Marculescu, Diana Marculescu: Does Q=MC2? (On the Relationship between Quality in Electronic Design and the Model of Colloidal Computing, invited). ISQED 2002: 451-457
21EEAnoop Iyer, Diana Marculescu: Microarchitecture-level power management. IEEE Trans. VLSI Syst. 10(3): 230-239 (2002)
2001
20EEAnoop Iyer, Diana Marculescu: Power aware microarchitecture resource scaling. DATE 2001: 190-196
19EEDiana Marculescu, Anoop Iyer: Application-Driven Processor Design Exploration for Power-Performance Trade-off Analysis. ICCAD 2001: 306-313
18EEEmil Talpes, Diana Marculescu: Power reduction through work reuse. ISLPED 2001: 340-345
2000
17EEDiana Marculescu: Profile-driven code execution for low power dissipation (poster session). ISLPED 2000: 253-255
16EEDiana Marculescu, Radu Marculescu, Massoud Pedram: Stochastic sequential machine synthesis with application to constrained sequence generation. ACM Trans. Design Autom. Electr. Syst. 5(3): 658-681 (2000)
15EEDiana Marculescu, Radu Marculescu, Massoud Pedram: Theoretical bounds for switching activity analysis in finite-state machines. IEEE Trans. VLSI Syst. 8(3): 335-339 (2000)
1999
14EERadu Marculescu, Diana Marculescu, Massoud Pedram: Non-stationary effects in trace-driven power analysis. ISLPED 1999: 133-138
13EERadu Marculescu, Diana Marculescu, Massoud Pedram: Sequence compaction for power estimation: theory and practice. IEEE Trans. on CAD of Integrated Circuits and Systems 18(7): 973-993 (1999)
1998
12EEDiana Marculescu, Radu Marculescu, Massoud Pedram: Trace-Driven Steady-State Probability Estimation in FSMs with Application to Power Estimation. DATE 1998: 774-
11EEDiana Marculescu, Radu Marculescu, Massoud Pedram: Theoretical bounds for switching activity analysis in finite-state machines. ISLPED 1998: 36-41
10EERadu Marculescu, Diana Marculescu, Massoud Pedram: Probabilistic modeling of dependencies during switching activity analysis. IEEE Trans. on CAD of Integrated Circuits and Systems 17(2): 73-83 (1998)
1997
9EEDiana Marculescu, Radu Marculescu, Massoud Pedram: Sequence Compaction for Probabilistic Analysis of Finite-State Machines. DAC 1997: 12-15
8EERadu Marculescu, Diana Marculescu, Massoud Pedram: Hierarchical Sequence Compaction for Power Estimation. DAC 1997: 570-575
7EERadu Marculescu, Diana Marculescu, Massoud Pedram: Composite sequence compaction for finite-state machines using block entropy and high-order Markov models. ISLPED 1997: 190-195
1996
6EEChi-Ying Tsui, Radu Marculescu, Diana Marculescu, Massoud Pedram: Improving the Efficiency of Power Simulators by Input Vector Compaction. DAC 1996: 165-168
5EEDiana Marculescu, Radu Marculescu, Massoud Pedram: Stochastic Sequential Machine Synthesis Targeting Constrained Sequence Generation. DAC 1996: 696-701
4EEDiana Marculescu, Radu Marculescu, Massoud Pedram: Information theoretic measures for power analysis [logic design]. IEEE Trans. on CAD of Integrated Circuits and Systems 15(6): 599-610 (1996)
1995
3EERadu Marculescu, Diana Marculescu, Massoud Pedram: Efficient Power Estimation for Highly Correlated Input Streams. DAC 1995: 628-634
2EEDiana Marculescu, Radu Marculescu, Massoud Pedram: Information theoretic measures of energy consumption at register transfer level. ISLPD 1995: 81-86
1994
1EERadu Marculescu, Diana Marculescu, Massoud Pedram: Switching activity analysis considering spatiotemporal correlations. ICCAD 1994: 294-299

Coauthor Index

1David H. Albonesi [27]
2R. Iris Bahar [57]
3Rajeev Barua [32] [40]
4Twan Basten [34]
5Pradip Bose [27]
6Eugenio Cantatore [34]
7Chan-Hao Chang [51]
8Howie Choset [44]
9Puru Choudhary [54] [56] [65]
10Rajeev Gandhi [44]
11Siddharth Garg [52] [62] [66] [68] [71] [77] [80]
12Steve Haga [32] [40]
13Dan W. Hammerstrom [57]
14Justin E. Harlow III [57]
15Jörg Henkel [55] [70]
16Sebastian Herbert [60] [76] [81]
17Anoop Iyer [19] [20] [21] [23] [24]
18William H. Joyner Jr. [57]
19Stefan Jung [34]
20Michael Kaufman [56]
21Ali Keshavarzi [67]
22Pradeep K. Khosla [25] [26]
23Philip Koopman (Phil Koopman, Philip J. Koopman Jr.) [44]
24Bruce H. Krogh [44]
25Clifford Lau [57]
26Hyung Gyu Lee [56]
27Menno Lindwer [34]
28Radu Marculescu [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15] [16] [22] [25] [26] [31] [34] [37] [56] [65] [75]
29Natasa Miskov-Zivanov [50] [53] [59] [64] [69] [73] [79]
30Priya Narasimhan [44]
31Sani R. Nassif [74]
32Wolfgang Nebel [55]
33Peter Nelson [56]
34Koushik Niyogi [45] [49]
35Ümit Y. Ogras [56] [65] [75]
36Alex Orailoglu [57]
37JoAnn M. Paul [44]
38Massoud Pedram [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15] [16] [57]
39Lawrence T. Pileggi (Larry T. Pileggi, Lawrence T. Pillage) [37]
40Anand Raghunathan [55] [67]
41Ragunathan Rajkumar [44]
42Venkata Syam P. Rapaka [28] [33] [39]
43Natasha Reeves [32] [40]
44Daniel P. Siewiorek [44]
45Asim Smailagic [44]
46Mircea R. Stan [55]
47Phillip Stanley-Marbell [26] [30] [31] [38] [61] [63]
48Peter Steenkiste [44]
49Emil Talpes [18] [29] [35] [39] [41] [42] [43] [46] [48]
50Donald E. Thomas [44]
51Chi-Ying Tsui [6]
52Narayanan Vijaykrishnan (Vijaykrishnan Narayanan) [67]
53Chenxi Wang [44]
54Kai-Chiang Wu [72] [73] [78]
55Nicholas H. Zamora [31]
56Rainer Zimmermann [34]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)