dblp.uni-trier.dewww.uni-trier.de

Robert P. Dick

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2009
53EELan S. Bai, Lei Yang, Robert P. Dick: MEMMU: Memory expansion for MMU-less embedded systems. ACM Trans. Embedded Comput. Syst. 8(3): (2009)
2008
52EEArindam Mallik, Jack Cosgrove, Robert P. Dick, Gokhan Memik, Peter A. Dinda: PICSEL: measuring user-perceived performance to control dynamic frequency scaling. ASPLOS 2008: 70-79
51EEXi Chen, Robert P. Dick, Alok N. Choudhary: Operating System Controlled Processor-Memory Bus Encryption. DATE 2008: 1154-1159
50EELan S. Bai, Haris Lekatsas, Robert P. Dick: Adaptive Filesystem Compression for Embedded Systems. DATE 2008: 1374-1377
49EEThidapat Chantem, Robert P. Dick, Xiaobo Sharon Hu: Temperature-Aware Scheduling and Assignment for Hard Real-Time Applications on MPSoCs. DATE 2008: 288-293
48EEXi Chen, Lei Yang, Haris Lekatsas, Robert P. Dick, Li Shang: Design and Implementation of a High-Performance Microprocessor Cache Compression Algorithm. DCC 2008: 43-52
47EENikolaos D. Liveris, Hai Zhou, Robert P. Dick, Prithviraj Banerjee: State space abstraction for parameterized self-stabilizing embedded systems. EMSOFT 2008: 11-20
46EEDavid R. Bild, Sanchit Misra, Thidapat Chantem, Prabhat Kumar, Robert P. Dick, Xiaobo Sharon Hu, Li Shang, Alok N. Choudhary: Temperature-aware test scheduling for multiprocessor systems-on-chip. ICCAD 2008: 59-66
45EENicholas Allec, Zyad Hassan, Li Shang, Robert P. Dick, Ronggui Yang: ThermalScope: multi-scale thermal analysis for nanometer-scale integrated circuits. ICCAD 2008: 603-610
44EEAlex Shye, Berkin Özisikyilmaz, Arindam Mallik, Gokhan Memik, Peter A. Dinda, Robert P. Dick, Alok N. Choudhary: Learning and Leveraging the Relationship between Architecture-Level Measurements and Individual User Satisfaction. ISCA 2008: 427-438
43EEAlex Shye, Yan Pan, Ben Scholbrock, J. Scott Miller, Gokhan Memik, Peter A. Dinda, Robert P. Dick: Power to the people: Leveraging human physiological traits to control microprocessor frequency. MICRO 2008: 188-199
42EEKe Meng, Russ Joseph, Robert P. Dick, Li Shang: Multi-optimization power management for chip multiprocessors. PACT 2008: 177-186
41EEZhenyu Gu, Changyun Zhu, Li Shang, Robert P. Dick: Application-Specific MPSoC Reliability Optimization. IEEE Trans. VLSI Syst. 16(5): 603-608 (2008)
40EEChangyun Zhu, Zhenyu (Peter) Gu, Li Shang, Robert P. Dick, Russ Joseph: Three-Dimensional Chip-Multiprocessor Run-Time Thermal Management. IEEE Trans. on CAD of Integrated Circuits and Systems 27(8): 1479-1492 (2008)
2007
39EESeunghoon Kim, Robert P. Dick, Russ Joseph: Power deregulation: eliminating off-chip voltage regulation circuitry from embedded systems. CODES+ISSS 2007: 105-110
38EEChong Sun, Li Shang, Robert P. Dick: Three-dimensional multiprocessor system-on-chip thermal optimization. CODES+ISSS 2007: 117-122
37EEChangyun Zhu, Zhenyu (Peter) Gu, Robert P. Dick, Li Shang: Reliable multiprocessor system-on-chip synthesis. CODES+ISSS 2007: 239-244
36EEChangyun Zhu, Zhenyu (Peter) Gu, Li Shang, Robert P. Dick, Robert G. Knobel: Towards An Ultra-Low-Power Architecture Using Single-Electron Tunneling Transistors. DAC 2007: 312-317
35EEYongpan Liu, Robert P. Dick, Li Shang, Huazhong Yang: Accurate temperature-dependent integrated circuit leakage power estimation is easy. DATE 2007: 1526-1531
34EEPeter A. Dinda, Gokhan Memik, Robert P. Dick, Bin Lin, Arindam Mallik, Ashish Gupta, Samuel Rossoff: The user in experimental computer systems research. Experimental Computer Science 2007: 10
33EEPingqiang Zhou, Yuchun Ma, Zhuoyuan Li, Robert P. Dick, Li Shang, Hai Zhou, Xianlong Hong, Qiang Zhou: 3D-STAF: scalable temperature and leakage aware floorplanning for three-dimensional integrated circuits. ICCAD 2007: 590-597
32EESasha Jevtic, Mathew Kotowsky, Robert P. Dick, Peter A. Dinda, Charles Dowding: Lucid dreaming: reliable analog event detection for energy-constrained applications. IPSN 2007: 350-359
31EEYongpan Liu, Huazhong Yang, Robert P. Dick, Hui Wang, Li Shang: Thermal vs Energy Optimization for DVFS-Enabled Processors in Embedded Systems. ISQED 2007: 204-209
30EEDavid Zaretsky, Gaurav Mittal, Robert P. Dick, Prith Banerjee: Balanced Scheduling and Operation Chaining in High-Level Synthesis for FPGA Designs. ISQED 2007: 595-601
29EEBin Lin, Arindam Mallik, Peter A. Dinda, Gokhan Memik, Robert P. Dick: Power reduction through measurement and modeling of users and CPUs: summary. SIGMETRICS 2007: 363-364
28EEDavid Brooks, Robert P. Dick, Russ Joseph, Li Shang: Power, Thermal, and Reliability Modeling in Nanometer-Scale Microprocessors. IEEE Micro 27(3): 49-62 (2007)
27EEYonghong Yang, Zhenyu (Peter) Gu, Changyun Zhu, Robert P. Dick, Li Shang: ISAC: Integrated Space-and-Time-Adaptive Chip-Package Thermal Analysis. IEEE Trans. on CAD of Integrated Circuits and Systems 26(1): 86-99 (2007)
26EELi Shang, Robert P. Dick, Niraj K. Jha: SLOPES: Hardware-Software Cosynthesis of Low-Power Real-Time Distributed Embedded Systems With Dynamically Reconfigurable FPGAs. IEEE Trans. on CAD of Integrated Circuits and Systems 26(3): 508-526 (2007)
25EEZhenyu (Peter) Gu, Jia Wang, Robert P. Dick, Hai Zhou: Unified Incremental Physical-Level and High-Level Synthesis. IEEE Trans. on CAD of Integrated Circuits and Systems 26(9): 1576-1588 (2007)
2006
24EEZhenyu (Peter) Gu, Yonghong Yang, Jia Wang, Robert P. Dick, Li Shang: TAPHS: thermal-aware unified physical-level and high-level synthesis. ASP-DAC 2006: 879-885
23EELan S. Bai, Lei Yang, Robert P. Dick: Automated compile-time and run-time techniques to increase usable memory in MMU-less embedded systems. CASES 2006: 125-135
22EEAi-Hsin Liu, Robert P. Dick: Automatic run-time extraction of communication graphs from multithreaded applications. CODES+ISSS 2006: 46-51
21EELei Yang, Haris Lekatsas, Robert P. Dick: High-performance operating system controlled memory compression. DAC 2006: 701-704
20EEYonghong Yang, Zhenyu (Peter) Gu, Changyun Zhu, Li Shang, Robert P. Dick: Adaptive chip-package thermal analysis for synthesis and design. DATE 2006: 844-849
19EEYonghong Yang, Changyun Zhu, Zhenyu (Peter) Gu, Li Shang, Robert P. Dick: Adaptive multi-domain thermal modeling and analysis for integrated circuit synthesis and design. ICCAD 2006: 575-582
18EEDavid Zaretsky, Gaurav Mittal, Robert P. Dick, Prith Banerjee: Dynamic Template Generation for Resource Sharing in Control and Data Flow Graphs. VLSI Design 2006: 465-468
17EEArindam Mallik, Bin Lin, Gokhan Memik, Peter A. Dinda, Robert P. Dick: User-Driven Frequency Scaling. Computer Architecture Letters 5(2): (2006)
2005
16EELei Yang, Robert P. Dick, Haris Lekatsas, Srimat T. Chakradhar: CRAMES: compressed RAM for embedded systems. CODES+ISSS 2005: 93-98
15EEZhenyu (Peter) Gu, Jia Wang, Robert P. Dick, Hai Zhou: Incremental exploration of the combined physical and behavioral design space. DAC 2005: 208-213
14EEDavid Zaretsky, Gaurav Mittal, Robert P. Dick, Prith Banerjee: Generation of Control and Data Flow Graphs from Scheduled and Pipelined Assembly Code. LCPC 2005: 76-90
2004
13EEYing Zhang, Robert P. Dick, Krishnendu Chakrabarty: Energy-aware deterministic fault tolerance in distributed real-time embedded systems. DAC 2004: 550-555
12EELi Shang, Robert P. Dick, Niraj K. Jha: DESP: A Distributed Economics-Based Subcontracting Protocol for Computation Distribution in Power-Aware Mobile Ad Hoc Networks. IEEE Trans. Mob. Comput. 3(1): 33-45 (2004)
11EERobert P. Dick, Niraj K. Jha: COWLS: hardware-software cosynthesis of wireless low-power distributed embedded client-server systems. IEEE Trans. on CAD of Integrated Circuits and Systems 23(1): 2-16 (2004)
2003
10EERobert P. Dick, Ganesh Lakshminarayana, Anand Raghunathan, Niraj K. Jha: Analysis of power dissipation in embedded systems using real-time operating systems. IEEE Trans. on CAD of Integrated Circuits and Systems 22(5): 615-627 (2003)
2002
9 Li Shang, Robert P. Dick, Niraj K. Jha: An Economics-based Power-aware Protocol for Computation Distribution in Mobile Ad-Hoc Networks. IASTED PDCS 2002: 339-344
2000
8EERobert P. Dick, Ganesh Lakshminarayana, Anand Raghunathan, Niraj K. Jha: Power analysis of embedded operating systems. DAC 2000: 312-315
7EERobert P. Dick, Niraj K. Jha: COWLS: Hardware-Software Co-Synthesis of Distributed Wireless Low-Power Embedded Client-Server Systems. VLSI Design 2000: 114-
1999
6EERobert P. Dick, Niraj K. Jha: MOCSYN: Multiobjective Core-Based Single-Chip System Synthesis. DATE 1999: 263-270
5EERobert P. Dick, Niraj K. Jha: Corrections to "mogac: a multiobjective genetic algorithm for hardware-software cosynthesis of distributed embedded systems". IEEE Trans. on CAD of Integrated Circuits and Systems 18(10): 1527-1527 (1999)
1998
4EERobert P. Dick, David L. Rhodes, Wayne Wolf: TGFF: task graphs for free. CODES 1998: 97-101
3EERobert P. Dick, Niraj K. Jha: CORDS: hardware-software co-synthesis of reconfigurable real-time distributed embedded systems. ICCAD 1998: 62-67
2EERobert P. Dick, Niraj K. Jha: MOGAC: a multiobjective genetic algorithm for hardware-software cosynthesis of distributed embedded systems. IEEE Trans. on CAD of Integrated Circuits and Systems 17(10): 920-935 (1998)
1997
1EERobert P. Dick, Niraj K. Jha: MOGAC: a multiobjective genetic algorithm for the co-synthesis of hardware-software embedded systems. ICCAD 1997: 522-529

Coauthor Index

1Nicholas Allec [45]
2Lan S. Bai [23] [50] [53]
3Prithviraj Banerjee (Prith Banerjee) [14] [18] [30] [47]
4David R. Bild [46]
5David Brooks [28]
6Krishnendu Chakrabarty [13]
7Srimat T. Chakradhar [16]
8Thidapat Chantem [46] [49]
9Xi Chen [48] [51]
10Alok N. Choudhary [44] [46] [51]
11Jack Cosgrove [52]
12Peter A. Dinda [17] [29] [32] [34] [43] [44] [52]
13Charles Dowding [32]
14Zhenyu Gu [41]
15Zhenyu (Peter) Gu [15] [19] [20] [24] [25] [27] [36] [37] [40]
16Ashish Gupta [34]
17Zyad Hassan [45]
18Xianlong Hong [33]
19Xiaobo Sharon Hu (Xiaobo Hu) [46] [49]
20Sasha Jevtic [32]
21Niraj K. Jha [1] [2] [3] [5] [6] [7] [8] [9] [10] [11] [12] [26]
22Russ Joseph [28] [39] [40] [42]
23Seunghoon Kim [39]
24Robert G. Knobel [36]
25Mathew Kotowsky [32]
26Prabhat Kumar [46]
27Ganesh Lakshminarayana [8] [10]
28Haris Lekatsas [16] [21] [48] [50]
29Zhuoyuan Li [33]
30Bin Lin [17] [29] [34]
31Ai-Hsin Liu [22]
32Yongpan Liu [31] [35]
33Nikolaos D. Liveris [47]
34Yuchun Ma [33]
35Arindam Mallik [17] [29] [34] [44] [52]
36Gokhan Memik [17] [29] [34] [43] [44] [52]
37Ke Meng [42]
38J. Scott Miller [43]
39Sanchit Misra [46]
40Gaurav Mittal [14] [18] [30]
41Berkin Özisikyilmaz [44]
42Yan Pan [43]
43Anand Raghunathan [8] [10]
44David L. Rhodes [4]
45Samuel Rossoff [34]
46Ben Scholbrock [43]
47Li Shang [9] [12] [19] [20] [24] [26] [27] [28] [31] [33] [35] [36] [37] [38] [40] [41] [42] [45] [46] [48]
48Alex Shye [43] [44]
49Chong Sun [38]
50Hui Wang [31]
51Jia Wang [15] [24] [25]
52Wayne Wolf [4]
53Huazhong Yang [31] [35]
54Lei Yang [16] [21] [23] [48] [53]
55Ronggui Yang [45]
56Yonghong Yang [19] [20] [24] [27]
57David Zaretsky [14] [18] [30]
58Ying Zhang [13]
59Hai Zhou [15] [25] [33] [47]
60Pingqiang Zhou [33]
61Qiang Zhou [33]
62Changyun Zhu [19] [20] [27] [36] [37] [40] [41]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)