dblp.uni-trier.dewww.uni-trier.de

Cliff C. N. Sze

Chin Ngai Sze, Cliff N. Sze

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo
Home Page

2008
19EEGi-Joon Nam, Cliff C. N. Sze, Mehmet Can Yildiz: The ISPD global routing benchmark suite. ISPD 2008: 156-159
2007
18EECharles J. Alpert, Shrirang K. Karandikar, Zhuo Li, Gi-Joon Nam, Stephen T. Quay, Haoxing Ren, Cliff C. N. Sze, Paul G. Villarrubia, Mehmet Can Yildiz: The nuts and bolts of physical synthesis. SLIP 2007: 89-94
2006
17EEShiyan Hu, Charles J. Alpert, Jiang Hu, Shrirang K. Karandikar, Zhuo Li, Weiping Shi, Cliff C. N. Sze: Fast algorithms for slew constrained minimum cost buffering. DAC 2006: 308-313
16EECharles J. Alpert, Andrew B. Kahng, Cliff C. N. Sze, Qinke Wang: Timing-driven Steiner trees are (practically) free. DAC 2006: 389-392
15EEGanesh Venkataraman, Jiang Hu, Frank Liu, Cliff C. N. Sze: Integrated placement and skew optimization for rotary clocking. DATE 2006: 756-761
14EECharles J. Alpert, Jiang Hu, Sachin S. Sapatnekar, Cliff C. N. Sze: Accurate estimation of global buffer delay within a floorplan. IEEE Trans. on CAD of Integrated Circuits and Systems 25(6): 1140-1145 (2006)
2005
13EEZhuo Li, Cliff C. N. Sze, Charles J. Alpert, Jiang Hu, Weiping Shi: Making fast buffer insertion even faster via approximation techniques. ASP-DAC 2005: 13-18
12EEYongqiang Lu, Cliff C. N. Sze, Xianlong Hong, Qiang Zhou, Yici Cai, Liang Huang, Jiang Hu: Register placement for low power clock network. ASP-DAC 2005: 588-593
11EEGanesh Venkataraman, Cliff C. N. Sze, Jiang Hu: Skew scheduling and clock routing for improved tolerance to process variations. ASP-DAC 2005: 594-599
10EEYongqiang Lu, Cliff C. N. Sze, Xianlong Hong, Qiang Zhou, Yici Cai, Liang Huang, Jiang Hu: Navigating registers in placement for clock network minimization. DAC 2005: 176-181
9EECliff C. N. Sze, Charles J. Alpert, Jiang Hu, Weiping Shi: Path based buffer insertion. DAC 2005: 509-514
2004
8EECliff C. N. Sze, Jiang Hu, Charles J. Alpert: A place and route aware buffered Steiner tree construction. ASP-DAC 2004: 355-360
7EECharles J. Alpert, Jiang Hu, Sachin S. Sapatnekar, Cliff C. N. Sze: Accurate estimation of global buffer delay within a floorplan. ICCAD 2004: 706-711
6EECharles J. Alpert, Gopal Gandham, Milos Hrkic, Jiang Hu, Stephen T. Quay, Cliff C. N. Sze: Porosity-aware buffered Steiner tree construction. IEEE Trans. on CAD of Integrated Circuits and Systems 23(4): 517-526 (2004)
5EECliff C. N. Sze, Ting-Chi Wang, Li-C. Wang: Multilevel circuit clustering for delay minimization. IEEE Trans. on CAD of Integrated Circuits and Systems 23(7): 1073-1085 (2004)
2003
4EECliff C. N. Sze, Ting-Chi Wang: Optimal circuit clustering for delay minimization under a more general delay model. IEEE Trans. on CAD of Integrated Circuits and Systems 22(5): 646-651 (2003)
2002
3EECliff C. N. Sze, Ting-Chi Wang: Optimal circuit clustering with variable interconnect delay. ISCAS (4) 2002: 707-710
2 Cliff C. N. Sze, Ting-Chi Wang: Multi-Level Circuit Clustering for Delay Minimization. IWLS 2002: 227-232
2001
1EEChin Ngai Sze, Yu-Liang Wu: Improved alternative wiring scheme applying dominator relationship. ASP-DAC 2001: 473-478

Coauthor Index

1Charles J. Alpert [6] [7] [8] [9] [13] [14] [16] [17] [18]
2Yici Cai [10] [12]
3Gopal Gandham [6]
4Xianlong Hong [10] [12]
5Milos Hrkic [6]
6Jiang Hu [6] [7] [8] [9] [10] [11] [12] [13] [14] [15] [17]
7Shiyan Hu [17]
8Liang Huang [10] [12]
9Andrew B. Kahng [16]
10Shrirang K. Karandikar [17] [18]
11Zhuo Li [13] [17] [18]
12Frank Liu [15]
13Yongqiang Lu [10] [12]
14Gi-Joon Nam [18] [19]
15Stephen T. Quay [6] [18]
16Haoxing Ren [18]
17Sachin S. Sapatnekar [7] [14]
18Weiping Shi [9] [13] [17]
19Ganesh Venkataraman [11] [15]
20Paul G. Villarrubia (Paul Villarrubia) [18]
21Li-C. Wang [5]
22Qinke Wang [16]
23Ting-Chi Wang [2] [3] [4] [5]
24Yu-Liang Wu (David Yu-Liang Wu) [1]
25Mehmet Can Yildiz [18] [19]
26Qiang Zhou [10] [12]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)