dblp.uni-trier.dewww.uni-trier.de

Soheil Ghiasi

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2009
35EEMatin Hashemi, Soheil Ghiasi: Throughput-driven synthesis of embedded software for pipelined execution on multicore architectures. ACM Trans. Embedded Comput. Syst. 8(2): (2009)
2008
34EEFaisal Khan, Lihua Yuan, Chen-Nee Chuah, Soheil Ghiasi: A programmable architecture for scalable and real-time network traffic measurements. ANCS 2008: 109-118
33EEMatin Hashemi, Soheil Ghiasi: Exact and Approximate Task Assignment Algorithms for Pipelined Software Synthesis. DATE 2008: 746-751
32EEPo-Kuan Huang, Matin Hashemi, Soheil Ghiasi: System-Level Performance Estimation for Application-Specific MPSoC Interconnect Synthesis. SASP 2008: 95-100
2007
31EEPo-Kuan Huang, Soheil Ghiasi: Efficient and scalable compiler-directed energy optimization for realtime applications. DATE 2007: 785-790
30EESoheil Ghiasi: Incremental component implementation selection: enabling ECO in compositional system synthesis. ICCAD 2007: 131-134
29EERoozbeh Jafari, Soheil Ghiasi, Majid Sarrafzadeh: Medical Embedded Systems. IESS 2007: 441-444
28EEPo-Kuan Huang, Matin Hashemi, Soheil Ghiasi: Joint throughput and energy optimization for pipelined execution of embedded streaming applications. LCTES 2007: 137-139
27EEPo-Kuan Huang, Soheil Ghiasi: Efficient and scalable compiler-directed energy optimization for realtime applications. ACM Trans. Design Autom. Electr. Syst. 12(3): (2007)
2006
26EEPo-Kuan Huang, Soheil Ghiasi: Leakage-aware intraprogram voltage scaling for embedded processors. DAC 2006: 364-369
25EEPo-Kuan Huang, Soheil Ghiasi: Power-aware compilation for embedded processors with dynamic voltage scaling and adaptive body biasing capabilities. DATE 2006: 943-944
24EEJia Ming Mar, Alessandro Bissacco, Stefano Soatto, Soheil Ghiasi: High Performance Feature Detection on a Reconfigurable Co-Processor. FCCM 2006: 341-342
23EETaraneh Taghavi, Soheil Ghiasi, Majid Sarrafzadeh: Routing algorithms: architecture driven rerouting enhancement for FPGAs. ISCAS 2006
22EESoheil Ghiasi, Po-Kuan Huang: Probabilistic Delay Budgeting for Soft Realtime Applications. ISQED 2006: 141-146
21EERoozbeh Jafari, Hyduke Noshadi, Majid Sarrafzadeh, Soheil Ghiasi: Adaptive Medical Feature Extraction for Resource Constrained Distributed Embedded Systems. PerCom Workshops 2006: 506-511
20EERoozbeh Jafari, Hyduke Noshadi, Soheil Ghiasi, Majid Sarrafzadeh: Adaptive Electrocardiogram Feature Extraction on Distributed Embedded Systems. IEEE Trans. Parallel Distrib. Syst. 17(8): 797-807 (2006)
19EESoheil Ghiasi, Po-Kuan Huang, Roozbeh Jafari: Probabilistic delay budget assignment for synthesis of soft real-time applications. IEEE Trans. VLSI Syst. 14(8): 843-853 (2006)
18EESoheil Ghiasi, Elaheh Bozorgzadeh, Po-Kuan Huang, Roozbeh Jafari, Majid Sarrafzadeh: A Unified Theory of Timing Budget Management. IEEE Trans. on CAD of Integrated Circuits and Systems 25(11): 2364-2375 (2006)
17EESoheil Ghiasi: An Effective Combinatorial Algorithm for Gate-Level Threshold Voltage Assignment. J. Low Power Electronics 2(3): 365-377 (2006)
16EESoheil Ghiasi, Elaheh Bozorgzadeh, Karlene Nguyen, Majid Sarrafzadeh: Efficient Timing Budget Management for Accuracy Improvement in a Collaborative Object Tracking System. VLSI Signal Processing 42(1): 43-55 (2006)
2005
15EETaraneh Taghavi, Soheil Ghiasi, Majid Sarrafzadeh: Routing algorithms: enhancing routability & enabling ECO (abstract only). FPGA 2005: 266
14EESoheil Ghiasi: Efficient Implementation Selection via Time Budgeting Complexity Analysis and Leakage Optimization Case Study. ICCD 2005: 127-129
13EESoheil Ghiasi, Ani Nahapetian, Hyun J. Moon, Majid Sarrafzadeh: Reconfiguration in network of embedded systems: Challenges and adaptive tracking case study. J. Embedded Computing 1(1): 147-166 (2005)
2004
12 Elaheh Bozorgzadeh, Soheil Ghiasi, Atsushi Takahashi, Majid Sarrafzadeh: Incremental Timing Budget Management in Programmable Systems. ERSA 2004: 240-246
11EESoheil Ghiasi, Elaheh Bozorgzadeh, Siddharth Choudhuri, Majid Sarrafzadeh: A unified theory of timing budget management. ICCAD 2004: 653-659
10EETaraneh Taghavi, Soheil Ghiasi, Abhishek Ranjan, Salil Raje, Majid Sarrafzadeh: Innovate or perish: FPGA physical design. ISPD 2004: 148-155
9EEEren Kursun, Soheil Ghiasi, Majid Sarrafzadeh: Transistor Level Budgeting for Power Optimization. ISQED 2004: 116-121
8EESoheil Ghiasi, Ani Nahapetian, Majid Sarrafzadeh: An optimal algorithm for minimizing run-time reconfiguration delay. ACM Trans. Embedded Comput. Syst. 3(2): 237-256 (2004)
7EEElaheh Bozorgzadeh, Soheil Ghiasi, Atsushi Takahashi, Majid Sarrafzadeh: Optimal integer delay-budget assignment on directed acyclic graphs. IEEE Trans. on CAD of Integrated Circuits and Systems 23(8): 1184-1199 (2004)
6EESoheil Ghiasi, Hyun J. Moon, Ani Nahapetian, Majid Sarrafzadeh: Collaborative and Reconfigurable Object Tracking. The Journal of Supercomputing 30(3): 213-238 (2004)
2003
5EEElaheh Bozorgzadeh, Soheil Ghiasi, Atsushi Takahashi, Majid Sarrafzadeh: Optimal integer delay budgeting on directed acyclic graphs. DAC 2003: 920-925
4 Soheil Ghiasi, Hyun J. Moon, Majid Sarrafzadeh: Improving Performance and Quality thru Hardware Reconfiguration: Potentials and Adaptive Object Tracking Case Study. ESTImedia 2003: 149-155
3 Soheil Ghiasi, Hyun J. Moon, Majid Sarrafzadeh: Collaborative and Reconfigurable Object Tracking. Engineering of Reconfigurable Systems and Algorithms 2003: 13-20
2EESoheil Ghiasi, Karlene Nguyen, Elaheh Bozorgzadeh, Majid Sarrafzadeh: On computation and resource management in an FPGA-based computation environment. FPGA 2003: 243
1EEXiaojian Yang, Maogang Wang, Ryan Kastner, Soheil Ghiasi, Majid Sarrafzadeh: Congestion reduction during placement with provably good approximation bound. ACM Trans. Design Autom. Electr. Syst. 8(3): 316-333 (2003)

Coauthor Index

1Alessandro Bissacco [24]
2Elaheh Bozorgzadeh (Eli Bozorgzadeh) [2] [5] [7] [11] [12] [16] [18]
3Siddharth Choudhuri [11]
4Chen-Nee Chuah [34]
5Matin Hashemi [28] [32] [33] [35]
6Po-Kuan Huang [18] [19] [22] [25] [26] [27] [28] [31] [32]
7Roozbeh Jafari [18] [19] [20] [21] [29]
8Ryan Kastner [1]
9Faisal Khan [34]
10Eren Kursun [9]
11Jia Ming Mar [24]
12Hyun J. Moon [3] [4] [6] [13]
13Ani Nahapetian [6] [8] [13]
14Karlene Nguyen [2] [16]
15Hyduke Noshadi [20] [21]
16Salil Raje [10]
17Abhishek Ranjan [10]
18Majid Sarrafzadeh [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [15] [16] [18] [20] [21] [23] [29]
19Stefano Soatto [24]
20Taraneh Taghavi [10] [15] [23]
21Atsushi Takahashi [5] [7] [12]
22Maogang Wang [1]
23Xiaojian Yang [1]
24Lihua Yuan [34]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)