dblp.uni-trier.dewww.uni-trier.de

Alex K. Jones

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo
Home Page

2009
42EEColin J. Ihrig, Gerold Joseph Dhanabalan, Alex K. Jones: A low-power CMOS thyristor based delay element with programmability extensions. ACM Great Lakes Symposium on VLSI 2009: 297-302
41EESwapna R. Dontharaju, Shen Chih Tung, James T. Cain, Leonid Mats, Marlin H. Mickle, Alex K. Jones: A design automation and power estimation flow for RFID systems. ACM Trans. Design Autom. Electr. Syst. 14(1): (2009)
40EEGayatri Mehta, Justin Stander, Mustafa Baz, Brady Hunsaker, Alex K. Jones: Interconnect customization for a hardware fabric. ACM Trans. Design Autom. Electr. Syst. 14(1): (2009)
39EEShuyi Shao, Alex K. Jones, Rami G. Melhem: Compiler Techniques for Efficient Communications in Circuit Switched Networks for Multiprocessor Systems. IEEE Trans. Parallel Distrib. Syst. 20(3): 331-345 (2009)
2008
38EEYing Yu, Raymond R. Hoare, Alex K. Jones: A CAM-based intrusion detection system for single-packet attack detection. IPDPS 2008: 1-8
37EEShen Chih Tung, Alex K. Jones: Physical layer design automation for RFID systems. IPDPS 2008: 1-8
36EEGayatri Mehta, Colin J. Ihrig, Alex K. Jones: Reducing energy by exploring heterogeneity in a coarse-grain fabric. IPDPS 2008: 1-8
35EEShuyi Shao, Yu Zhang, Alex K. Jones, Rami G. Melhem: Symbolic expression analysis for compiled communication. IPDPS 2008: 1-8
34EEAlex K. Jones, Swapna R. Dontharaju, Shen Chih Tung, Leonid Mats, Peter J. Hawrylak, Raymond R. Hoare, James T. Cain, Marlin H. Mickle: Radio frequency identification prototyping. ACM Trans. Design Autom. Electr. Syst. 13(2): (2008)
33EEAlex K. Jones, Robert Walker: Introduction to the special section on demonstrable software systems and hardware platforms II. ACM Trans. Design Autom. Electr. Syst. 13(3): (2008)
32EERaymond R. Hoare, Zhu Ding, Alex K. Jones: A two-stage hardware scheduler combining greedy and optimal scheduling. J. Parallel Distrib. Comput. 68(11): 1437-1451 (2008)
2007
31EEGayatri Mehta, Justin Stander, Mustafa Baz, Brady Hunsaker, Alex K. Jones: Interconnect Customization for a Coarse-grained Reconfigurable Fabric. IPDPS 2007: 1-8
30EEAlex K. Jones, Raymond R. Hoare, Joseph St. Onge, Joshua M. Lucas, Shuyi Shao, Rami G. Melhem: Linking Compilation and Visualization for Massively Parallel Programs. IPDPS 2007: 1-8
29EEColin J. Ihrig, Justin Stander, Alex K. Jones: Pipelining Tradeoffs of Massively Parallel SuperCISC Hardware Functions. IPDPS 2007: 1-8
28EEAlex K. Jones, Raymond Hoare, Swapna R. Dontharaju, Shen Chih Tung, Ralph Sprang, Joshua Fazekas, James T. Cain, Marlin H. Mickle: An automated, FPGA-based reconfigurable, low-power RFID tag. Microprocessors and Microsystems 31(2): 116-134 (2007)
2006
27EEAlex K. Jones, Raymond R. Hoare, Swapna R. Dontharaju, Shen Chih Tung, Ralph Sprang, Joshua Fazekas, James T. Cain, Marlin H. Mickle: An automated, reconfigurable, low-power RFID tag. DAC 2006: 131-136
26EEAlex K. Jones, Raymond R. Hoare, Swapna R. Dontharaju, Shen Chih Tung, Ralph Sprang, Joshua Fazekas, James T. Cain, Marlin H. Mickle: A Field Programmable RFID Tag and Associated Design Flow. FCCM 2006: 165-174
25EERaymond R. Hoare, Ivan S. Kourtev, Alex K. Jones: Technology Mapping for Field Programmable Gate Arrays using Content-Addressable Memory (CAM). FCCM 2006: 299-300
24EEGayatri Mehta, Raymond R. Hoare, Justin Stander, Alex K. Jones: A Low-Energy Reconfigurable Fabric for the SuperCISC Architecture. FCCM 2006: 309-310
23EEShuyi Shao, Alex K. Jones, Rami G. Melhem: A compiler-based communication analysis approach for multiprocessor systems. IPDPS 2006
22EEGayatri Mehta, Raymond R. Hoare, Justin Stander, Alex K. Jones: Design space exploration for low-power reconfigurable fabrics. IPDPS 2006
21EEYing Yu, Raymond R. Hoare, Alex K. Jones, Ralph Sprang: A hybrid encoding scheme for efficient single-cycle range matching in content addressable memory. ISCAS 2006
20EERaymond R. Hoare, Zhu Ding, Alex K. Jones: Interconnect routing and scheduling - A near-optimal real-time hardware scheduler for large cardinality crossbar switches. SC 2006: 94
19EEZhu Ding, Raymond R. Hoare, Alex K. Jones, Rami G. Melhem: Interconnect routing and scheduling - Level-wise scheduling algorithm for fat tree interconnection networks. SC 2006: 96
18EEAlex K. Jones, Raymond Hoare, Dara Kusic, Gayatri Mehta, Joshua Fazekas, John Foster: Reducing power while increasing performance with supercisc. ACM Trans. Embedded Comput. Syst. 5(3): 658-686 (2006)
17EEAlex K. Jones, Jiang Zheng, Ahmed Amer: Entropy Based Evaluation of Communication Predictability in Parallel Applications. IEICE Transactions 89-D(2): 469-478 (2006)
16EEAlex K. Jones, Swapna R. Dontharaju, Shen Chih Tung, Peter J. Hawrylak, Leonid Mats, Raymond R. Hoare, James T. Cain, Marlin H. Mickle: Passive active radio frequency identification tags. IJRFITA 1(1): 52-73 (2006)
15EEGayatri Mehta, Justin Stander, Joshua M. Lucas, Raymond R. Hoare, Brady Hunsaker, Alex K. Jones: A Low-Energy Reconfigurable Fabric for the SuperCISC Architecture. J. Low Power Electronics 2(2): 148-164 (2006)
14EEJoshua M. Lucas, Raymond Hoare, Ivan S. Kourtev, Alex K. Jones: Technology mapping for Field Programmable Gate Arrays using Content-Addressable Memory (CAM). Microprocessors and Microsystems 30(7): 445-456 (2006)
2005
13EEJoshua M. Lucas, Raymond Hoare, Alex K. Jones: Optimizing Technology Mapping for FPGAs Using CAMs. FCCM 2005: 293-294
12EEAlex K. Jones, Raymond Hoare, Dara Kusic, Joshua Fazekas, John Foster: An FPGA-based VLIW processor with custom hardware execution. FPGA 2005: 107-117
11EEDara Kusic, Raymond Hoare, Alex K. Jones, Joshua Fazekas, John Foster: Extracting Speedup From C-Code With Poor Instruction-Level Parallelism. IPDPS 2005
10EEZhu Ding, Raymond R. Hoare, Alex K. Jones, Dan Li, Shou-Kuo Shao, Shen-Chien Tung, Jiang Zheng, Rami G. Melhem: Switch Design to Enable Predictive Multiplexed Switching in Multiprocessor Networks. IPDPS 2005
9EEKevin J. Barker, Alan F. Benner, Raymond R. Hoare, Adolfy Hoisie, Alex K. Jones, Darren J. Kerbyson, Dan Li, Rami G. Melhem, Ramakrishnan Rajamony, Eugen Schenfeld, Shuyi Shao, Craig B. Stunkel, Peter Walker: On the Feasibility of Optical Circuit Switching for High Performance Computing Systems. SC 2005: 16
8EEXiaoyong Tang, Tianyi Jiang, Alex K. Jones, Prithviraj Banerjee: Behavioral Synthesis of Data-Dominated Circuits for Minimal Energy Implementation. VLSI Design 2005: 267-273
7EEXiaoyong Tang, Tianyi Jiang, Alex K. Jones, Prithviraj Banerjee: High-Level Synthesis for Low Power Hardware Implementation of Unscheduled Data-Dominated Circuits. J. Low Power Electronics 1(3): 259-272 (2005)
6EERaymond R. Hoare, Zhu Ding, Shen Chih Tung, Rami G. Melhem, Alex K. Jones: A framework for the design, synthesis and cycle-accurate simulation of multiprocessor networks. J. Parallel Distrib. Comput. 65(10): 1237-1252 (2005)
2004
5EERajarshi Mukherjee, Alex K. Jones, Prithviraj Banerjee: Handling Data Streams while Compiling C Programs onto Hardware. ISVLSI 2004: 271-272
2003
4EEAlex K. Jones, Prithviraj Banerjee: An Automated and Power-Aware Framework for Utilization of IP Cores in Hardware Generated from C Descriptions Targeting FPGAs. FCCM 2003: 284-285
3EEAlex K. Jones, Prithviraj Banerjee: An automated and power-aware framework for utilization of IP cores in hardware generated from C descriptions targeting FPGAs. FPGA 2003: 244
2002
2EEAlex K. Jones, Debabrata Bagchi, Satrajit Pal, Xiaoyong Tang, Alok N. Choudhary, Prithviraj Banerjee: PACT HDL: a C compiler targeting ASICs and FPGAs with power and performance optimizations. CASES 2002: 188-197
2000
1EEPrithviraj Banerjee, U. Nagaraj Shenoy, Alok N. Choudhary, Scott Hauck, C. Bachmann, Malay Haldar, Pramod G. Joisha, Alex K. Jones, Abhay Kanhere, Anshuman Nayak, S. Periyacheri, M. Walkden, David Zaretsky: A MATLAB Compiler for Distributed, Heterogeneous, Reconfigurable Computing Systems. FCCM 2000: 39-48

Coauthor Index

1Ahmed Amer [17]
2C. Bachmann [1]
3Debabrata Bagchi [2]
4Prithviraj Banerjee (Prith Banerjee) [1] [2] [3] [4] [5] [7] [8]
5Kevin J. Barker [9]
6Mustafa Baz [31] [40]
7Alan F. Benner [9]
8James T. Cain [16] [26] [27] [28] [34] [41]
9Alok N. Choudhary [1] [2]
10Gerold Joseph Dhanabalan [42]
11Zhu Ding [6] [10] [19] [20] [32]
12Swapna R. Dontharaju [16] [26] [27] [28] [34] [41]
13Joshua Fazekas [11] [12] [18] [26] [27] [28]
14John Foster [11] [12] [18]
15Malay Haldar [1]
16Scott Hauck [1]
17Peter J. Hawrylak [16] [34]
18Raymond R. Hoare (Raymond Hoare) [6] [9] [10] [11] [12] [13] [14] [15] [16] [18] [19] [20] [21] [22] [24] [25] [26] [27] [28] [30] [32] [34] [38]
19Adolfy Hoisie [9]
20Brady Hunsaker [15] [31] [40]
21Colin J. Ihrig [29] [36] [42]
22Tianyi Jiang [7] [8]
23Pramod G. Joisha [1]
24Abhay Kanhere [1]
25Darren J. Kerbyson [9]
26Ivan S. Kourtev [14] [25]
27Dara Kusic [11] [12] [18]
28Dan Li [9] [10]
29Joshua M. Lucas [13] [14] [15] [30]
30Leonid Mats [16] [34] [41]
31Gayatri Mehta [15] [18] [22] [24] [31] [36] [40]
32Rami G. Melhem [6] [9] [10] [19] [23] [30] [35] [39]
33Marlin H. Mickle [16] [26] [27] [28] [34] [41]
34Rajarshi Mukherjee [5]
35Anshuman Nayak [1]
36Joseph St. Onge [30]
37Satrajit Pal [2]
38S. Periyacheri [1]
39Ramakrishnan Rajamony [9]
40Eugen Schenfeld [9]
41Shou-Kuo Shao [10]
42Shuyi Shao [9] [23] [30] [35] [39]
43U. Nagaraj Shenoy [1]
44Ralph Sprang [21] [26] [27] [28]
45Justin Stander [15] [22] [24] [29] [31] [40]
46Craig B. Stunkel [9]
47Xiaoyong Tang [2] [7] [8]
48Shen Chih Tung [6] [16] [26] [27] [28] [34] [37] [41]
49Shen-Chien Tung [10]
50M. Walkden [1]
51Peter Walker [9]
52Robert Walker [33]
53Ying Yu [21] [38]
54David Zaretsky [1]
55Yu Zhang [35]
56Jiang Zheng [10] [17]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)