dblp.uni-trier.dewww.uni-trier.de

Rajendran Panda

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2009
58EESavithri Sundareswaran, Rajendran Panda, Jacob A. Abraham, Yun Zhang, Amit Mittal: Characterization of sequential cells for constraint sensitivities. ISQED 2009: 74-79
2008
57EESavithri Sundareswaran, Jacob A. Abraham, Alexandre Ardelea, Rajendran Panda: Characterization of Standard Cells for Intra-Cell Mismatch Variations. ISQED 2008: 213-219
56EEXiaoji Ye, Min Zhao, Rajendran Panda, Peng Li, Jiang Hu: Accelerating Clock Mesh Simulation Using Matrix-Level Macromodels and Dynamic Time Step Rounding. ISQED 2008: 627-632
55EESavithri Sundareswaran, Lucie Nechanicka, Rajendran Panda, Sergey Gavrilov, Roman Solovyev, Jacob A. Abraham: A timing methodology considering within-die clock skew variations. SoCC 2008: 351-356
2007
54EEMin Zhao, Rajendran Panda, Ben Reschke, Yuhong Fu, Trudi Mewett, Sri Chandrasekaran, Savithri Sundareswaran, Shu Yan: On-Chip Decoupling Capacitance and P/G Wire Co-optimization for Dynamic Noise. DAC 2007: 162-167
53EEXiaoji Ye, Peng Li, Min Zhao, Rajendran Panda, Jiang Hu: Analysis of large clock meshes via harmonic-weighted model order reduction and port sliding. ICCAD 2007: 627-631
52EEYuhong Fu, Rajendran Panda, Ben Reschke, Savithri Sundareswaran, Min Zhao: A novel technique for incremental analysis of on-chip power distribution networks. ICCAD 2007: 817-823
51EEPraveen Ghanta, Sarma B. K. Vrudhula, Rajendran Panda, Janet Meiling Wang: Stochastic Power Grid Analysis Considering Process Variations CoRR abs/0710.4649: (2007)
2006
50EEPraveen Ghanta, Sarma B. K. Vrudhula, Sarvesh Bhardwaj, Rajendran Panda: Stochastic variational analysis of large power grids considering intra-die correlations. DAC 2006: 211-216
49EEMin Zhao, Rajendran Panda, Savithri Sundareswaran, Shu Yan, Yuhong Fu: A fast on-chip decoupling capacitance budgeting algorithm using macromodeling and linear programming. DAC 2006: 217-222
48EEMin Zhao, Yuhong Fu, Vladimir Zolotov, Savithri Sundareswaran, Rajendran Panda: Optimal placement of power-supply pads and pins. IEEE Trans. on CAD of Integrated Circuits and Systems 25(1): 144-154 (2006)
47EEHaldun Haznedar, Martin Gall, Vladimir Zolotov, Pon Sung Ku, Chanhee Oh, Rajendran Panda: Impact of stress-induced backflow on full-chip electromigration risk assessment. IEEE Trans. on CAD of Integrated Circuits and Systems 25(6): 1038-1046 (2006)
2005
46EEPraveen Ghanta, Sarma B. K. Vrudhula, Rajendran Panda, Janet Meiling Wang: Stochastic Power Grid Analysis Considering Process Variations. DATE 2005: 964-969
45 Murat R. Becer, Vladimir Zolotov, Rajendran Panda, Amir Grinshpon, Ilan Algor, Rafi Levy, Chanhee Oh: Pessimism reduction in crosstalk noise aware STA. ICCAD 2005: 954-961
2004
44EEMin Zhao, Yuhong Fu, Vladimir Zolotov, Savithri Sundareswaran, Rajendran Panda: Optimal placement of power supply pads and pins. DAC 2004: 165-170
43EESanjay Pant, David Blaauw, Vladimir Zolotov, Savithri Sundareswaran, Rajendran Panda: A stochastic approach To power grid analysis. DAC 2004: 171-176
42EEAlexey Glebov, Sergey Gavrilov, Vladimir Zolotov, Chanhee Oh, Rajendran Panda, Murat R. Becer: False-Noise Analysis for Domino Circuits. DATE 2004: 784-789
41EEAlexey Glebov, Sergey Gavrilov, R. Soloviev, Vladimir Zolotov, Murat R. Becer, Chanhee Oh, Rajendran Panda: Delay noise pessimism reduction by logic correlations. ICCAD 2004: 160-167
40EEChanhee Oh, Haldun Haznedar, Martin Gall, Amir Grinshpon, Vladimir Zolotov, Pon Sung Ku, Rajendran Panda: A Methodology for Chip-Level Electromigration Risk Assessment and Product Qualification. ISQED 2004: 232-237
39EEMurat R. Becer, David Blaauw, Ilan Algor, Rajendran Panda, Chanhee Oh, Vladimir Zolotov, Ibrahim N. Hajj: Postroute gate sizing for crosstalk noise reduction. IEEE Trans. on CAD of Integrated Circuits and Systems 23(12): 1670-1677 (2004)
38EEMurat R. Becer, Ravi Vaidyanathan, Chanhee Oh, Rajendran Panda: Crosstalk noise control in an SoC physical design flow. IEEE Trans. on CAD of Integrated Circuits and Systems 23(4): 488-497 (2004)
2003
37EEMurat R. Becer, David Blaauw, Ilan Algor, Rajendran Panda, Chanhee Oh, Vladimir Zolotov, Ibrahim N. Hajj: Post-route gate sizing for crosstalk noise reduction. DAC 2003: 954-957
36EED. Nadezhin, Sergey Gavrilov, Alexey Glebov, Y. Egorov, Vladimir Zolotov, David Blaauw, Rajendran Panda, Murat R. Becer, Alexandre Ardelea, A. Patel: SOI Transistor Model for Fast Transient Simulation. ICCAD 2003: 120128
35EESanjay Pant, David Blaauw, Vladimir Zolotov, Savithri Sundareswaran, Rajendran Panda: Vectorless Analysis of Supply Noise Induced Delay Variation. ICCAD 2003: 184-192
34EEHaitian Hu, David Blaauw, Vladimir Zolotov, Kaushik Gala, Min Zhao, Rajendran Panda, Sachin S. Sapatnekar: Table look-up based compact modeling for on-chip interconnect timing and noise analysis. ISCAS (4) 2003: 668-671
33EEMurat R. Becer, Ravi Vaidyanathan, Chanhee Oh, Rajendran Panda: Signal integrity management in an SoC physical design flow. ISPD 2003: 39-46
32EEMurat R. Becer, David Blaauw, Ilan Algor, Rajendran Panda, Chanhee Oh, Vladimir Zolotov, Ibrahim N. Hajj: Post-Route Gate Sizing for Crosstalk Noise Reduction. ISQED 2003: 171-176
31EEChanhee Oh, David Blaauw, Murat R. Becer, Vladimir Zolotov, Rajendran Panda, Aurobindo Dasgupta: Static Electromigration Analysis for Signal Interconnects. ISQED 2003: 377-
30EERajendran Panda, Savithri Sundareswaran, David Blaauw: Impact of Low-Impedance Substrate on Power Supply Integrity. IEEE Design & Test of Computers 20(3): 16-22 (2003)
29EEHaitian Hu, David T. Blaauw, Vladimir Zolotov, Kaushik Gala, Min Zhao, Rajendran Panda, Sachin S. Sapatnekar: Fast on-chip inductance simulation using a precorrected-FFT method. IEEE Trans. on CAD of Integrated Circuits and Systems 22(1): 49-66 (2003)
28EEMurat R. Becer, David T. Blaauw, Rajendran Panda, Ibrahim N. Hajj: Early probabilistic noise estimation for capacitively coupled interconnects. IEEE Trans. on CAD of Integrated Circuits and Systems 22(3): 337-345 (2003)
2002
27EEMurat R. Becer, Vladimir Zolotov, David Blaauw, Rajendran Panda, Ibrahim N. Hajj: Analysis of Noise Avoidance Techniques in DSM Interconnects Using a Complete Crosstalk Noise Model . DATE 2002: 456-464
26EEHaitian Hu, David Blaauw, Vladimir Zolotov, Kaushik Gala, Min Zhao, Rajendran Panda, Sachin S. Sapatnekar: A precorrected-FFT method for simulating on-chip inductance. ICCAD 2002: 221-227
25EEVladimir Zolotov, David Blaauw, Supamas Sirichotiyakul, Murat R. Becer, Chanhee Oh, Rajendran Panda, Amir Grinshpon, Rafi Levy: Noise propagation and failure criteria for VLSI designs. ICCAD 2002: 587-594
24EEMurat R. Becer, Rajendran Panda, David Blaauw, Ibrahim N. Hajj: Pre-route Noise Estimation in Deep Submicron Integrated Circuits. ISQED 2002: 413-418
23EEVladimir Zolotov, David Blaauw, Rajendran Panda, Chanhee Oh: Noise Injection and Propagation in High Performance Designs. ISQED 2002: 425-430
22EEAlexey Glebov, Sergey Gavrilov, David Blaauw, Vladimir Zolotov, Rajendran Panda, Chanhee Oh: False-Noise Analysis Using Resolution Method. ISQED 2002: 437-
21EEMurat R. Becer, David Blaauw, Ibrahim N. Hajj, Rajendran Panda: Early probabilistic noise estimation for capacitively coupled interconnects. SLIP 2002: 77-83
20EEMin Zhao, Kaushik Gala, Vladimir Zolotov, Yuhong Fu, Rajendran Panda, R. Ramkumar, Bhuwan K. Agrawal: Worst case clock skew under power supply variations. Timing Issues in the Specification and Synthesis of Digital Systems 2002: 22-28
19EESupamas Sirichotiyakul, Tim Edwards, Chanhee Oh, Rajendran Panda, David Blaauw: Duet: an accurate leakage estimation and optimization tool for dual-Vt circuits. IEEE Trans. VLSI Syst. 10(2): 79-90 (2002)
18EEMin Zhao, Rajendran Panda, Sachin S. Sapatnekar, David T. Blaauw: Hierarchical analysis of power distribution networks. IEEE Trans. on CAD of Integrated Circuits and Systems 21(2): 159-168 (2002)
2001
17EERajendran Panda, Savithri Sundareswaran, David Blaauw: On the interaction of power distribution network with substrate. ISLPED 2001: 388-393
16EEDavid Blaauw, Rajendran Panda: On-Chip Inductance Extraction and Modelin. ISQED 2001: 14
2000
15EEDavid Blaauw, Kaushik Gala, Vladimir Zolotov, Rajendran Panda, Junfeng Wang: On-chip inductance modeling. ACM Great Lakes Symposium on VLSI 2000: 75-80
14EEMin Zhao, Rajendran Panda, Sachin S. Sapatnekar, Tim Edwards, Rajat Chaudhry, David Blaauw: Hierarchical analysis of power distribution networks. DAC 2000: 150-155
13EERajat Chaudhry, David Blaauw, Rajendran Panda, Tim Edwards: Current signature compression for IR-drop analysis. DAC 2000: 162-167
12EEDavid Blaauw, Rajendran Panda, Abhijit Das: Removing user specified false paths from timing graphs. DAC 2000: 270-273
11EEKaushik Gala, Vladimir Zolotov, Rajendran Panda, Brian Young, Junfeng Wang, David Blaauw: On-chip inductance modeling and analysis. DAC 2000: 63-68
10 David Blaauw, Vladimir Zolotov, Savithri Sundareswaran, Chanhee Oh, Rajendran Panda: Slope Propagation in Static Timing Analysis. ICCAD 2000: 338-343
9EERajendran Panda, David Blaauw, Rajat Chaudhry, Vladimir Zolotov, Brian Young, Ravi Ramaraju: Model and analysis for combined package and on-chip power grid simulation. ISLPED 2000: 179-184
8EERajat Chaudhry, Rajendran Panda, Tim Edwards, David Blaauw: Design and Analysis of Power Distribution Networks with Accurate RLC Models. VLSI Design 2000: 151-155
1999
7EESupamas Sirichotiyakul, Tim Edwards, Chanhee Oh, Jingyan Zuo, Abhijit Dharchoudhury, Rajendran Panda, David Blaauw: Stand-by Power Minimization Through Simultaneous Threshold Voltage Selection and Circuit Sizing. DAC 1999: 436-441
1998
6EERajendran Panda, Abhijit Dharchoudhury, Tim Edwards, Joe Norton, David Blaauw: Migration: A New Technique to Improve Synthesized Designs Through Incremental Customization. DAC 1998: 388-391
5EEAbhijit Dharchoudhury, Rajendran Panda, David Blaauw, Ravi Vaidyanathan, Bogdan Tutuianu, David Bearden: Design and Analysis of Power Distribution Networks in PowerPC Microprocessors. DAC 1998: 738-743
4EESatyamurthy Pullela, Rajendran Panda, Abhijit Dharchoudhury, Gopal Vija: CMOS Combinational Circuit Sizing by Stage-wise Tapering. DATE 1998: 985-988
3EEDavid Blaauw, Abhijit Dharchoudhury, Rajendran Panda, Supamas Sirichotiyakul, Chanhee Oh, Tim Edwards: Emerging power management tools for processor design. ISLPED 1998: 143-148
1997
2EERajendran Panda, Farid N. Najm: Technology-Dependent Transformations for Low-Power Synthesis. DAC 1997: 650-655
1EESergey Gavrilov, Alexey Glebov, Satyamurthy Pullela, S. C. Moore, Abhijit Dharchoudhury, Rajendran Panda, Gopalakrishnan Vijayan, David Blaauw: Library-less synthesis for static CMOS combinational logic circuits. ICCAD 1997: 658-662

Coauthor Index

1Jacob A. Abraham [55] [57] [58]
2Bhuwan K. Agrawal [20]
3Ilan Algor [32] [37] [39] [45]
4Alexandre Ardelea [36] [57]
5David Bearden [5]
6Murat R. Becer [21] [24] [25] [27] [28] [31] [32] [33] [36] [37] [38] [39] [41] [42] [45]
7Sarvesh Bhardwaj [50]
8David Blaauw (David T. Blaauw) [1] [3] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15] [16] [17] [18] [19] [21] [22] [23] [24] [25] [26] [27] [28] [29] [30] [31] [32] [34] [35] [36] [37] [39] [43]
9Sri Chandrasekaran [54]
10Rajat Chaudhry [8] [9] [13] [14]
11Abhijit Das [12]
12Aurobindo Dasgupta [31]
13Abhijit Dharchoudhury [1] [3] [4] [5] [6] [7]
14Tim Edwards [3] [6] [7] [8] [13] [14] [19]
15Y. Egorov [36]
16Yuhong Fu [20] [44] [48] [49] [52] [54]
17Kaushik Gala [11] [15] [20] [26] [29] [34]
18Martin Gall [40] [47]
19Sergey Gavrilov [1] [22] [36] [41] [42] [55]
20Praveen Ghanta [46] [50] [51]
21Alexey Glebov [1] [22] [36] [41] [42]
22Amir Grinshpon [25] [40] [45]
23Ibrahim N. Hajj [21] [24] [27] [28] [32] [37] [39]
24Haldun Haznedar [40] [47]
25Haitian Hu [26] [29] [34]
26Jiang Hu [53] [56]
27Pon Sung Ku [40] [47]
28Rafi Levy [25] [45]
29Peng Li [53] [56]
30Trudi Mewett [54]
31Amit Mittal [58]
32S. C. Moore [1]
33D. Nadezhin [36]
34Farid N. Najm [2]
35Lucie Nechanicka [55]
36Joe Norton [6]
37Chanhee Oh [3] [7] [10] [19] [22] [23] [25] [31] [32] [33] [37] [38] [39] [40] [41] [42] [45] [47]
38Sanjay Pant [35] [43]
39A. Patel [36]
40Satyamurthy Pullela [1] [4]
41Ravi Ramaraju [9]
42R. Ramkumar [20]
43Ben Reschke [52] [54]
44Sachin S. Sapatnekar [14] [18] [26] [29] [34]
45Supamas Sirichotiyakul [3] [7] [19] [25]
46R. Soloviev [41]
47Roman Solovyev [55]
48Savithri Sundareswaran [10] [17] [30] [35] [43] [44] [48] [49] [52] [54] [55] [57] [58]
49Bogdan Tutuianu [5]
50Ravi Vaidyanathan [5] [33] [38]
51Gopal Vija [4]
52Gopalakrishnan Vijayan [1]
53Sarma B. K. Vrudhula [46] [50] [51]
54Janet Meiling Wang (Janet Meiling Wang Roveda) [46] [51]
55Junfeng Wang [11] [15]
56Shu Yan [49] [54]
57Xiaoji Ye [53] [56]
58Brian Young [9] [11]
59Yun Zhang [58]
60Min Zhao [14] [18] [20] [26] [29] [34] [44] [48] [49] [52] [53] [54] [56]
61Vladimir Zolotov [9] [10] [11] [15] [20] [22] [23] [25] [26] [27] [29] [31] [32] [34] [35] [36] [37] [39] [40] [41] [42] [43] [44] [45] [47] [48]
62Jingyan Zuo [7]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)