dblp.uni-trier.dewww.uni-trier.de

Farzan Fallah

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2009
49EEBehnam Amelifard, Farzan Fallah, Massoud Pedram: Low-Power Fanout Optimization Using Multi Threshold Voltages and Multi Channel Lengths. IEEE Trans. on CAD of Integrated Circuits and Systems 28(4): 478-489 (2009)
2008
48EEBehnam Amelifard, Farzan Fallah, Massoud Pedram: Leakage Minimization of SRAM Cells in a Dual-Vt and Dual-Tox Technology. IEEE Trans. VLSI Syst. 16(7): 851-860 (2008)
47EEEhsan Pakbaznia, Farzan Fallah, Massoud Pedram: Charge Recycling in Power-Gated CMOS Circuits. IEEE Trans. on CAD of Integrated Circuits and Systems 27(10): 1798-1811 (2008)
2007
46EEEhsan Pakbaznia, Farzan Fallah, Massoud Pedram: Sizing and placement of charge recycling transistors in MTCMOS circuits. ICCAD 2007: 791-796
45EETohru Ishihara, Farzan Fallah: A Way Memoization Technique for Reducing Power Consumption of Caches in Application Specific Integrated Processors CoRR abs/0710.4703: (2007)
44EEAfshin Abdollahi, Farzan Fallah, Massoud Pedram: A Robust Power Gating Structure and Power Mode Transition Strategy for MTCMOS Design. IEEE Trans. VLSI Syst. 15(1): 80-89 (2007)
43EEAnup Hosangadi, Farzan Fallah, Ryan Kastner: Algebraic Methods for Optimizing Constant Multiplications in Linear Systems. VLSI Signal Processing 49(1): 31-50 (2007)
2006
42EEEhsan Pakbaznia, Farzan Fallah, Massoud Pedram: Charge recycling in MTCMOS circuits: concept and analysis. DAC 2006: 97-102
41EEAnup Hosangadi, Farzan Fallah, Ryan Kastner: Optimizing high speed arithmetic circuits using three-term extraction. DATE 2006: 1294-1299
40EEBehnam Amelifard, Farzan Fallah, Massoud Pedram: Reducing the sub-threshold and gate-tunneling leakage of SRAM cells using Dual-Vt and Dual-Tox assignment. DATE 2006: 995-1000
39EEDonghoon Lee, Tohru Ishihara, Masanori Muroyama, Hiroto Yasuura, Farzan Fallah: An Energy Characterization Framework for Software-Based Embedded Systems. ESTImedia 2006: 59-64
38EEBehnam Amelifard, Farzan Fallah, Massoud Pedram: Low-power fanout optimization using MTCMOS and multi-Vt techniques. ISLPED 2006: 334-337
37EEBehnam Amelifard, Massoud Pedram, Farzan Fallah: Low-leakage SRAM Design with Dual V_t Transistors. ISQED 2006: 729-734
36EEAnup Hosangadi, Farzan Fallah, Ryan Kastner: Optimizing Polynomial Expressions by Algebraic Factorization and Common Subexpression Elimination. IEEE Trans. on CAD of Integrated Circuits and Systems 25(10): 2012-2022 (2006)
2005
35EEAnup Hosangadi, Farzan Fallah, Ryan Kastner: Reducing hardware complexity of linear DSP systems by iteratively eliminating two-term common subexpressions. ASP-DAC 2005: 523-528
34EEAfshin Abdollahi, Farzan Fallah, Massoud Pedram: An effective power mode transition technique in MTCMOS circuits. DAC 2005: 37-42
33EETohru Ishihara, Farzan Fallah: A Way Memoization Technique for Reducing Power Consumption of Caches in Application Specific Integrated Processors. DATE 2005: 358-363
32 Tohru Ishihara, Farzan Fallah: A cache-defect-aware code placement algorithm for improving the performance of processors. ICCAD 2005: 995-1001
31EETohru Ishihara, Farzan Fallah: A non-uniform cache architecture for low power system design. ISLPED 2005: 363-368
30EEBehnam Amelifard, Farzan Fallah, Massoud Pedram: Low-power fanout optimization using multiple threshold voltage inverters. ISLPED 2005: 95-98
29EEBehnam Amelifard, Farzan Fallah, Massoud Pedram: Closing the Gap between Carry Select Adder and Ripple Carry Adder: A New Class Closing the Gap between Carry Select Adder and Ripple Carry Adder: A New Class of Low-Power High-Performance Adders. ISQED 2005: 148-152
28EEAfshin Abdollahi, Farzan Fallah, Massoud Pedram: Analysis and Optimization of Static Power Considering Transition Dependency of Leakage Current in VLSI Circuits. ISQED 2005: 77-82
27EEAnup Hosangadi, Farzan Fallah, Ryan Kastner: Energy Efficient Hardware Synthesis of Polynomial Expressions. VLSI Design 2005: 653-658
26EEFarzan Fallah, Massoud Pedram: Standby and Active Leakage Current Control and Minimization in CMOS VLSI Circuits. IEICE Transactions 88-C(4): 509-519 (2005)
2004
25EEAnup Hosangadi, Farzan Fallah, Ryan Kastner: Common Subexpression Elimination Involving Multiple Variables for Linear DSP Synthesis. ASAP 2004: 202-212
24EEAnup Hosangadi, Farzan Fallah, Ryan Kastner: Factoring and eliminating common subexpressions in polynomial expressions. ICCAD 2004: 169-174
23 Afshin Abdollahi, Farzan Fallah, Massoud Pedram: Leakage current reduction in CMOS VLSI circuits by input vector control. IEEE Trans. VLSI Syst. 12(2): 140-154 (2004)
22EEYazdan Aghaghiri, Farzan Fallah, Massoud Pedram: Transition reduction in memory buses using sector-based encoding techniques. IEEE Trans. on CAD of Integrated Circuits and Systems 23(8): 1164-1174 (2004)
2003
21EEAfshin Abdollahi, Massoud Pedram, Farzan Fallah, Indradeep Ghosh: Precomputation-based Guarding for Dynamic and Leakage Power Reduction. ICCD 2003: 90-97
20EEAfshin Abdollahi, Farzan Fallah, Massoud Pedram: Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains. ISQED 2003: 49-54
2002
19EEYazdan Aghaghiri, Massoud Pedram, Farzan Fallah: EZ Encoding: A Class of Irredundant Low Power Codes for Data Address and Multiplexed Address Buses. DATE 2002: 1102
18EEFarzan Fallah: Binary time-frame expansion. ICCAD 2002: 458-464
17EESeda Ogrenci Memik, Farzan Fallah: Accelerated SAT-based Scheduling of Control/Data Flow Graphs. ICCD 2002: 395-
16EEYazdan Aghaghiri, Massoud Pedram, Farzan Fallah: Reducing transitions on memory buses using sector-based encoding technique. ISLPED 2002: 190-195
15EEAfshin Abdollahi, Massoud Pedram, Farzan Fallah: Runtime mechanisms for leakage current reduction in CMOS VLSI circuits1, 2. ISLPED 2002: 213-218
14EEYazdan Aghaghiri, Farzan Fallah, Massoud Pedram: ALBORZ: Address Level Bus Power Optimization. ISQED 2002: 470-
13 Farzan Fallah: Binary Time Frame Expansion. IWLS 2002: 314-319
12 Afshin Abdollahi, Farzan Fallah: Runtime Mechanisms for Leakage Current Reduction in CMOS VLSI Circuits. IWLS 2002: 419-424
11EEFarzan Fallah, Pranav Ashar, Srinivas Devadas: Functional vector generation for sequential HDL models under an observability-based code coverage metric. IEEE Trans. VLSI Syst. 10(6): 919-923 (2002)
10EEYazdan Aghaghiri, Farzan Fallah, Massoud Pedram: A Class of Irredundant Encoding Techniques for Reducing Bus Power. Journal of Circuits, Systems, and Computers 11(5): 445-458 (2002)
2001
9 Farzan Fallah, Koichiro Takayama: A New Functional Test Program Generation Methodology. ICCD 2001: 76-81
8 Serdar Tasiran, Farzan Fallah, David G. Chinnery, Scott J. Weber, Kurt Keutzer: A Functional Validation Technique: Biased-Random Simulation Guided by Observability-Based Coverage. ICCD 2001: 82-88
7EEYazdan Aghaghiri, Farzan Fallah, Massoud Pedram: Irredundant address bus encoding for low power. ISLPED 2001: 182-187
6EEFarzan Fallah, Srinivas Devadas, Kurt Keutzer: OCCOM-efficient computation of observability-based code coveragemetrics for functional verification. IEEE Trans. on CAD of Integrated Circuits and Systems 20(8): 1003-1015 (2001)
5EEFarzan Fallah, Srinivas Devadas, Kurt Keutzer: Functional vector generation for HDL models using linearprogramming and Boolean satisfiability. IEEE Trans. on CAD of Integrated Circuits and Systems 20(8): 994-1002 (2001)
2000
4EEFarzan Fallah, Stan Y. Liao, Srinivas Devadas: Solving covering problems using LPR-based lower bounds. IEEE Trans. VLSI Syst. 8(1): 9-17 (2000)
1999
3EEFarzan Fallah, Pranav Ashar, Srinivas Devadas: Simulation Vector Generation from HDL Descriptions for Observability-Enhanced Statement Coverage. DAC 1999: 666-671
1998
2EEFarzan Fallah, Srinivas Devadas, Kurt Keutzer: OCCOM: Efficient Computation of Observability-Based Code Coverage Metrics for Functional Verification. DAC 1998: 152-157
1EEFarzan Fallah, Srinivas Devadas, Kurt Keutzer: Functional Vector Generation for HDL Models Using Linear Programming and 3-Satisfiability. DAC 1998: 528-533

Coauthor Index

1Afshin Abdollahi [12] [15] [20] [21] [23] [28] [34] [44]
2Yazdan Aghaghiri [7] [10] [14] [16] [19] [22]
3Behnam Amelifard [29] [30] [37] [38] [40] [48] [49]
4Pranav Ashar [3] [11]
5David G. Chinnery [8]
6Srinivas Devadas [1] [2] [3] [4] [5] [6] [11]
7Indradeep Ghosh [21]
8Anup Hosangadi [24] [25] [27] [35] [36] [41] [43]
9Tohru Ishihara [31] [32] [33] [39] [45]
10Ryan Kastner [24] [25] [27] [35] [36] [41] [43]
11Kurt Keutzer [1] [2] [5] [6] [8]
12Donghoon Lee [39]
13Stan Y. Liao [4]
14Seda Ogrenci Memik (Seda Ogrenci) [17]
15Masanori Muroyama [39]
16Ehsan Pakbaznia [42] [46] [47]
17Massoud Pedram [7] [10] [14] [15] [16] [19] [20] [21] [22] [23] [26] [28] [29] [30] [34] [37] [38] [40] [42] [44] [46] [47] [48] [49]
18Koichiro Takayama [9]
19Serdar Tasiran [8]
20Scott J. Weber [8]
21Hiroto Yasuura [39]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)