dblp.uni-trier.dewww.uni-trier.de

Li-Shiuan Peh

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2009
62EENiket Agarwal, Li-Shiuan Peh, Niraj K. Jha: In-Network Snoop Ordering (INSO): Snoopy coherence on unordered interconnects. HPCA 2009: 67-78
61EERadu Marculescu, Ümit Y. Ogras, Li-Shiuan Peh, Natalie D. Enright Jerger, Yatin Vasant Hoskote: Outstanding Research Problems in NoC Design: System, Microarchitecture, and Circuit Perspectives. IEEE Trans. on CAD of Integrated Circuits and Systems 28(1): 3-21 (2009)
2008
60EEKonstantinos Aisopos, Chien-Chun Chou, Li-Shiuan Peh: Extending open core protocol to support system-level cache coherence. CODES+ISSS 2008: 167-172
59EETushar Krishna, Amit Kumar, Patrick Chiang, Mattan Erez, Li-Shiuan Peh: NoC with Near-Ideal Express Virtual Channels Using Global-Line Communication. Hot Interconnects 2008: 11-20
58EEAmit Kumar, Niket Agarwal, Li-Shiuan Peh, Niraj K. Jha: A system-level perspective for efficient NoC design. IPDPS 2008: 1-5
57EENatalie D. Enright Jerger, Li-Shiuan Peh, Mikko H. Lipasti: Virtual Circuit Tree Multicasting: A Case for On-Chip Hardware Multicast Support. ISCA 2008: 229-240
56EEXuning Chen, Gu-Yeon Wei, Li-Shiuan Peh: Design of low-power short-distance opto-electronic transceiver front-ends with scalable supply voltages and frequencies. ISLPED 2008: 277-282
55EEAmit Kumar, Li-Shiuan Peh, Niraj K. Jha: Token flow control. MICRO 2008: 342-353
54EENatalie D. Enright Jerger, Li-Shiuan Peh, Mikko H. Lipasti: Virtual tree coherence: Leveraging regions and in-network multicast trees for scalable cache coherence. MICRO 2008: 35-46
53EEBin Li, Li-Shiuan Peh, Priyadarsan Patra: Impact of Process and Temperature Variations on Network-on-Chip Design Exploration. NOCS 2008: 117-126
52EENatalie D. Enright Jerger, Li-Shiuan Peh, Mikko H. Lipasti: Circuit-Switched Coherence. NOCS 2008: 193-202
51EENoel Eisley, Li-Shiuan Peh, Li Shang: Leveraging on-chip networks for data cache migration in chip multiprocessors. PACT 2008: 197-207
50EEAmit Kumar, Li-Shiuan Peh, Partha Kundu, Niraj K. Jha: Toward Ideal On-Chip Communication Using Express Virtual Channels. IEEE Micro 28(1): 80-90 (2008)
49EEAmit Kumar, Li Shang, Li-Shiuan Peh, Niraj K. Jha: System-Level Dynamic Thermal Management for High-Performance Microprocessors. IEEE Trans. on CAD of Integrated Circuits and Systems 27(1): 96-108 (2008)
2007
48EEAmit Kumar, Partha Kundu, Arvind P. Singh, Li-Shiuan Peh, Niraj K. Jha: A 4.6Tbits/s 3.6GHz single-cycle NoC router with a novel switch allocator in 65nm CMOS. ICCD 2007: 63-70
47EEAmit Kumar, Li-Shiuan Peh, Partha Kundu, Niraj K. Jha: Express virtual channels: towards the ideal interconnection fabric. ISCA 2007: 150-161
46EENatalie D. Enright Jerger, Mikko H. Lipasti, Li-Shiuan Peh: Circuit-Switched Coherence. Computer Architecture Letters 6(1): 5-8 (2007)
45EEPartha Kundu, Li-Shiuan Peh: Guest Editors' Introduction: On-Chip Interconnects for Multicores. IEEE Micro 27(5): 3-5 (2007)
44EEJohn D. Owens, William J. Dally, Ron Ho, D. N. Jayasimha, Stephen W. Keckler, Li-Shiuan Peh: Research Challenges for On-Chip Interconnection Networks. IEEE Micro 27(5): 96-108 (2007)
43EEVassos Soteriou, Li-Shiuan Peh: Exploring the Design Space of Self-Regulating Power-Aware On/Off Interconnection Networks. IEEE Trans. Parallel Distrib. Syst. 18(3): 393-408 (2007)
42EEJiong Luo, Niraj K. Jha, Li-Shiuan Peh: Simultaneous Dynamic Voltage Scaling of Processors and Communication Links in Real-Time Distributed Embedded Systems. IEEE Trans. VLSI Syst. 15(4): 427-437 (2007)
41EEVassos Soteriou, Noel Eisley, Hangsheng Wang, Bin Li, Li-Shiuan Peh: Polaris: A System-Level Roadmapping Toolchain for On-Chip Interconnection Networks. IEEE Trans. VLSI Syst. 15(8): 855-868 (2007)
40EEYong Wang, Margaret Martonosi, Li-Shiuan Peh: Predicting link quality using supervised learning in wireless sensor networks. Mobile Computing and Communications Review 11(3): 71-83 (2007)
39EEVassos Soteriou, Noel Eisley, Li-Shiuan Peh: Software-directed power-aware interconnection networks. TACO 4(1): (2007)
2006
38EENoel Eisley, Vassos Soteriou, Li-Shiuan Peh: High-level power analysis for multi-core chips. CASES 2006: 389-400
37EEAmit Kumar, Li Shang, Li-Shiuan Peh, Niraj K. Jha: HybDTM: a coordinated hardware-software approach for dynamic thermal management. DAC 2006: 548-553
36EEVassos Soteriou, Noel Eisley, Hangsheng Wang, Bin Li, Li-Shiuan Peh: Polaris: A System-Level Roadmap for On-Chip Interconnection Networks. ICCD 2006
35EEVassos Soteriou, Hangsheng Wang, Li-Shiuan Peh: A Statistical Traffic Model for On-Chip Interconnection Networks. MASCOTS 2006: 104-116
34EEYong Wang, Margaret Martonosi, Li-Shiuan Peh: Situation-Aware Caching Strategies in Highly Varying Mobile Networks. MASCOTS 2006: 265-274
33EENoel Eisley, Li-Shiuan Peh, Li Shang: In-Network Cache Coherence. MICRO 2006: 321-332
32EENoel Eisley, Li-Shiuan Peh, Li Shang: In-network cache coherence. Computer Architecture Letters 5(1): 34-37 (2006)
31EELi Shang, Li-Shiuan Peh, Amit Kumar, Niraj K. Jha: Temperature-Aware On-Chip Networks. IEEE Micro 26(1): 130-139 (2006)
30EELi Shang, Li-Shiuan Peh, Niraj K. Jha: PowerHerd: a distributed scheme for dynamically satisfying peak-power constraints in interconnection networks. IEEE Trans. on CAD of Integrated Circuits and Systems 25(1): 92-110 (2006)
2005
29EEVassos Soteriou, Noel Eisley, Li-Shiuan Peh: Software-directed power-aware interconnection networks. CASES 2005: 274-285
28EEHangsheng Wang, Li-Shiuan Peh, Sharad Malik: A Technology-Aware and Energy-Oriented Topology Exploration for On-Chip Networks. DATE 2005: 1238-1243
27EEXuning Chen, Li-Shiuan Peh, Gu-Yeon Wei, Yue-Kai Huang, Paul R. Prucnal: Exploring the Design Space of Power-Aware Opto-Electronic Networked Systems. HPCA 2005: 120-131
26EEPhilo Juang, Qiang Wu, Li-Shiuan Peh, Margaret Martonosi, Douglas W. Clark: Coordinated, distributed, formal energy management of chip multiprocessors. ISLPED 2005: 127-130
25EEYong Wang, Margaret Martonosi, Li-Shiuan Peh: A new scheme on link quality prediction and its applications to metric-based routing. SenSys 2005: 288-289
24EEQiang Wu, Philo Juang, Margaret Martonosi, Li-Shiuan Peh, Douglas W. Clark: Formal Control Techniques for Power-Performance Management. IEEE Micro 25(5): 52-62 (2005)
23EELi-Shiuan Peh, Timothy Mark Pinkston: Guest Editorial: Special Section on On-Chip Networks. IEEE Trans. Parallel Distrib. Syst. 16(2): 97-98 (2005)
22EEDavid I. August, Sharad Malik, Li-Shiuan Peh, Vijay S. Pai, Manish Vachharajani, Paul Willmann: Achieving Structural and Composable Modeling of Complex Systems. International Journal of Parallel Programming 33(2-3): 81-101 (2005)
21EEJulia Chen, Philo Juang, Kevin Ko, Gilberto Contreras, David Penry, Ram Rangan, Adam Stoler, Li-Shiuan Peh, Margaret Martonosi: Hardware-modulated parallelism in chip multiprocessors. SIGARCH Computer Architecture News 33(4): 54-63 (2005)
2004
20EENoel Eisley, Li-Shiuan Peh: High-level power analysis for on-chip networks. CASES 2004: 104-115
19EEVassos Soteriou, Li-Shiuan Peh: Design-Space Exploration of Power-Aware On/Off Interconnection Networks. ICCD 2004: 510-517
18EEDavid I. August, Sharad Malik, Li-Shiuan Peh, Vijay S. Pai: Achieving Structural and Composable Modeling of Complex Systems. IPDPS Next Generation Software Program - NSFNGS - PI Workshop 2004
17EELi Shang, Li-Shiuan Peh, Amit Kumar, Niraj K. Jha: Thermal Modeling, Characterization and Management of On-Chip Networks. MICRO 2004: 67-78
16EEYong Wang, Margaret Martonosi, Li-Shiuan Peh: MARio: mobility-adaptive routing using route lifetime abstractions in mobile ad hoc networks. Mobile Computing and Communications Review 8(4): 77-81 (2004)
2003
15EEJiong Luo, Li-Shiuan Peh, Niraj K. Jha: Simultaneous Dynamic Voltage Scaling of Processors and Communication Links in Real-Time Distributed Embedded Systems. DATE 2003: 11150-11151
14EELi Shang, Li-Shiuan Peh, Niraj K. Jha: Dynamic Voltage Scaling with Links for Power Optimization of Interconnection Networks. HPCA 2003: 91-102
13EELi Shang, Li-Shiuan Peh, Niraj K. Jha: PowerHerd: dynamic satisfaction of peak power constraints in interconnection networks. ICS 2003: 98-108
12EEXuning Chen, Li-Shiuan Peh: Leakage power modeling and optimization in interconnection networks. ISLPED 2003: 90-95
11EEHangsheng Wang, Li-Shiuan Peh, Sharad Malik: Power-driven Design of Router Microarchitectures in On-chip Networks. MICRO 2003: 105-116
10EEHangsheng Wang, Li-Shiuan Peh, Sharad Malik: A Power Model for Routers: Modeling Alpha 21364 and InfiniBand Routers. IEEE Micro 23(1): 26-35 (2003)
2002
9EEPhilo Juang, Hidekazu Oki, Yong Wang, Margaret Martonosi, Li-Shiuan Peh, Daniel Rubenstein: Energy-efficient computing for wildlife tracking: design tradeoffs and early experiences with ZebraNet. ASPLOS 2002: 96-107
8EEWei Qin, Subramanian Rajagopalan, Manish Vachharajani, Hangsheng Wang, Xinping Zhu, David I. August, Kurt Keutzer, Sharad Malik, Li-Shiuan Peh: Design Tools for Application Specific Embedded Processors. EMSOFT 2002: 319-333
7EEHangsheng Wang, Li-Shiuan Peh, Sharad Malik: A Power Model for Routers: Modeling Alpha 21364 and InfiniBand Routers. Hot Interconnects 2002: 21-27
6EEHangsheng Wang, Xinping Zhu, Li-Shiuan Peh, Sharad Malik: Orion: a power-performance simulator for interconnection networks. MICRO 2002: 294-305
5EELi Shang, Li-Shiuan Peh, Niraj K. Jha: Power-efficient Interconnection Networks: Dynamic Voltage Scaling with Links. Computer Architecture Letters 1: (2002)
2001
4EELi-Shiuan Peh, William J. Dally: A Delay Model and Speculative Architecture for Pipelined Routers. HPCA 2001: 255-266
3EELi-Shiuan Peh, William J. Dally: A Delay Model for Router Microarchitectures. IEEE Micro 21(1): 26-34 (2001)
2000
2EELi-Shiuan Peh, William J. Dally: Flit-Reservation Flow Control. HPCA 2000: 73-84
1996
1EELi-Shiuan Peh, Christopher Ting Hian Ann: A Divide-and-Conquer Strategy for Parsing CoRR cmp-lg/9607020: (1996)

Coauthor Index

1Niket Agarwal [58] [62]
2Konstantinos Aisopos [60]
3Christopher Ting Hian Ann [1]
4David I. August [8] [18] [22]
5Julia Chen [21]
6Xuning Chen [12] [27] [56]
7Patrick Chiang [59]
8Chien-Chun Chou [60]
9Douglas W. Clark [24] [26]
10Gilberto Contreras [21]
11William J. Dally [2] [3] [4] [44]
12Noel Eisley [20] [29] [32] [33] [36] [38] [39] [41] [51]
13Mattan Erez [59]
14Ron Ho [44]
15Yatin Vasant Hoskote [61]
16Yue-Kai Huang [27]
17D. N. Jayasimha [44]
18Natalie D. Enright Jerger [46] [52] [54] [57] [61]
19Niraj K. Jha [5] [13] [14] [15] [17] [30] [31] [37] [42] [47] [48] [49] [50] [55] [58] [62]
20Philo Juang [9] [21] [24] [26]
21Stephen W. Keckler [44]
22Kurt Keutzer [8]
23Kevin Ko [21]
24Tushar Krishna [59]
25Amit Kumar [17] [31] [37] [47] [48] [49] [50] [55] [58] [59]
26Partha Kundu [45] [47] [48] [50]
27Bin Li [36] [41] [53]
28Mikko H. Lipasti [46] [52] [54] [57]
29Jiong Luo [15] [42]
30Sharad Malik [6] [7] [8] [10] [11] [18] [22] [28]
31Radu Marculescu [61]
32Margaret Martonosi [9] [16] [21] [24] [25] [26] [34] [40]
33Ümit Y. Ogras [61]
34Hidekazu Oki [9]
35John D. Owens [44]
36Vijay S. Pai [18] [22]
37Priyadarsan Patra [53]
38David Penry [21]
39Timothy Mark Pinkston [23]
40Paul R. Prucnal [27]
41Wei Qin [8]
42Subramanian Rajagopalan [8]
43Ram Rangan [21]
44Daniel Rubenstein [9]
45Li Shang [5] [13] [14] [17] [30] [31] [32] [33] [37] [49] [51]
46Arvind P. Singh [48]
47Vassos Soteriou [19] [29] [35] [36] [38] [39] [41] [43]
48Adam Stoler [21]
49Manish Vachharajani [8] [22]
50Hangsheng Wang [6] [7] [8] [10] [11] [28] [35] [36] [41]
51Yong Wang [9] [16] [25] [34] [40]
52Gu-Yeon Wei [27] [56]
53Paul Willmann [22]
54Qiang Wu [24] [26]
55Xinping Zhu [6] [8]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)