dblp.uni-trier.dewww.uni-trier.de

Shih-Hsu Huang

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2009
36EEShih-Hsu Huang, Chia-Ming Chang, Yow-Tyng Nieh: Opposite-phase register switching for peak current minimization. ACM Trans. Design Autom. Electr. Syst. 14(1): (2009)
2008
35EEChia-Ming Chang, Shih-Hsu Huang, Yuan-Kai Ho, Jia-Zong Lin, Hsin-Po Wang, Yu-Sheng Lu: Type-matching clock tree for zero skew clock gating. DAC 2008: 714-719
34EEShih-Hsu Huang, Chun-Hua Cheng: An ILP Approach to the Simultaneous Application of Operation Scheduling and Power Management. IEICE Transactions 91-A(1): 375-382 (2008)
33EEShih-Hsu Huang, Chun-Hua Cheng: Power-Management Scheduling for Peak Power Minimization. J. Inf. Sci. Eng. 24(6): 1647-1668 (2008)
2007
32EEShih-Hsu Huang, Chun-Hua Cheng, Chia-Ming Chang, Yow-Tyng Nieh: Clock Period Minimization with Minimum Delay Insertion. DAC 2007: 970-975
31EEShih-Hsu Huang, Chu-Liao Wang, Man-Lin Huang: A Floorplan-Based Power Network Analysis Methodology for System-on-Chip Designs. EUC 2007: 507-516
30EEWei-Ting Yen, Shih-Hsu Huang, Chun-Hua Cheng: Simultaneous Operation Scheduling and Operation Delay Selection to Minimize Cycle-by-Cycle Power Differential. EUC Workshops 2007: 638-647
29EEShih-Hsu Huang, Yow-Tyng Nieh: Clock skew scheduling with race conditions considered. ACM Trans. Design Autom. Electr. Syst. 12(4): (2007)
28EEYow-Tyng Nieh, Shih-Hsu Huang, Sheng-Yu Hsu: Opposite-Phase Clock Tree for Peak Current Reduction. IEICE Transactions 90-A(12): 2727-2735 (2007)
27EEShih-Hsu Huang, Chia-Ming Chang, Yow-Tyng Nieh: A Fast Register Scheduling Approach to the Architecture of Multiple Clocking Domains. J. Inf. Sci. Eng. 23(6): 1681-1705 (2007)
2006
26EEShih-Hsu Huang, Chun-Hua Cheng: Operation Scheduling for False Loop Free Circuits. APCCAS 2006: 1619-1622
25EEShih-Hsu Huang, Chun-Hua Cheng, Chung-Hsin Chiang, Chia-Ming Chang: Peak Power Minimization through Power Management Scheduling. APCCAS 2006: 868-871
24EEShih-Hsu Huang, Chia-Ming Chang, Yow-Tyng Nieh: Fast multi-domain clock skew scheduling for peak current reduction. ASP-DAC 2006: 254-259
23EEShih-Hsu Huang, Chun-Hua Cheng, Yow-Tyng Nieh, Wei-Chieh Yu: Register binding for clock period minimization. DAC 2006: 439-444
22EEShih-Hsu Huang, Chia-Ming Chang, Yow-Tyng Nieh: State re-encoding for peak current minimization. ICCAD 2006: 33-38
21EEShih-Hsu Huang, Chun-Hua Cheng, Chung-Hsin Chiang, Chia-Ming Chang: An ILP Approach to the Simultaneous Application of Operation Scheduling and Power Management. JCIS 2006
20EEShih-Hsu Huang, Shi-Zhi Liu, Yi-Rung Chen, Jian-Yuan Lai: High-Speed Fuzzy Inference Processor Using Active Rules Identification. JCIS 2006
19EEShih-Hsu Huang, Yow-Tyng Nieh: Synthesis of nonzero clock skew circuits. IEEE Trans. on CAD of Integrated Circuits and Systems 25(6): 961-976 (2006)
18EEShih-Hsu Huang, Chun-Hua Cheng: An ILP Approach to the Slack Driven Scheduling Problem. IEICE Transactions 89-A(6): 1852-1858 (2006)
2005
17EEYow-Tyng Nieh, Shih-Hsu Huang, Sheng-Yu Hsu: Minimizing peak current via opposite-phase clock tree. DAC 2005: 182-185
16EEShih-Hsu Huang, Yow-Tyng Nieh, Feng-Pin Lu: Race-condition-aware clock skew scheduling. DAC 2005: 475-478
15EEShih-Hsu Huang, Yi-Rung Chen: VLSI implementation of type-2 fuzzy inference processor. ISCAS (4) 2005: 3307-3310
14EEShih-Hsu Huang, Chun-Hua Cheng: A formal approach to the slack driven scheduling problem in high-level synthesis. ISCAS (6) 2005: 5633-5636
13EEChih-Hung Lee, Chin-Hung Su, Shih-Hsu Huang, Chih-Yuan Lin, Tsai-Ming Hsieh: Floorplanning with clock tree estimation. ISCAS (6) 2005: 6244-6247
12EEShih-Hsu Huang, Chung-Hsin Chiang, Chun-Hua Cheng: Three-dimension scheduling under multi-cycle interconnect communications. IEICE Electronic Express 2(4): 108-114 (2005)
11EEShih-Hsu Huang, Jian-Yuan Lai: A High Speed Fuzzy Inference Processor with Dynamic Analysis and Scheduling Capabilities. IEICE Transactions 88-D(10): 2410-2416 (2005)
10EEShih-Hsu Huang, Jian-Yuan Lai: High-Speed VLSI Fuzzy Inference Processor for Trapezoid-Shaped Membership Functions. J. Inf. Sci. Eng. 21(3): 607-626 (2005)
2003
9EEShih-Hsu Huang, Yow-Tyng Nieh: Clock Period Minimization of Non-Zero Clock Skew Circuits. ICCAD 2003: 809-812
2002
8EEShih-Hsu Huang, Yi-Siang Hsu: A timing driven approach for crosstalk minimization in gridded channel routing. APCCAS (1) 2002: 263-266
7EEShih-Hsu Huang, Wen-Hon Peng, Jian-Yuan Lai: Automatic synthesis of fuzzy systems based on trapezoid-shaped membership functions. APCCAS (2) 2002: 43-46
6EEShih-Hsu Huang, Chu-Liao Wang: An effective floorplan-based power distribution network design methodology under reliability constraints. ISCAS (1) 2002: 353-356
2001
5 Shih-Hsu Huang, Jian-Yuan Lai: A High Speed VLSI Fuzzy Logic Controller With Pipeline Architecture. FUZZ-IEEE 2001: 1054-1057
4EEShih-Hsu Huang: An effective low power design methodology based on interconnect prediction. SLIP 2001: 189-194
2000
3EEMely Chen Chi, Shih-Hsu Huang: A Reliable Clock Tree Design Methodology for ASIC Designs. ISQED 2000: 269-274
1995
2EEShih-Hsu Huang, Ta-Yung Liu, Yu-Chin Hsu, Yen-Jen Oyang: Synthesis of false loop free circuits. ASP-DAC 1995
1992
1EEShih-Hsu Huang, Cheng-Tsung Hwang, Yu-Chin Hsu, Yen-Jen Oyang: A new approach to schedule operations across nested-ifs and nested-loops. MICRO 1992: 268-271

Coauthor Index

1Chia-Ming Chang [21] [22] [24] [25] [27] [32] [35] [36]
2Yi-Rung Chen [15] [20]
3Chun-Hua Cheng [12] [14] [18] [21] [23] [25] [26] [30] [32] [33] [34]
4Mely Chen Chi [3]
5Chung-Hsin Chiang [12] [21] [25]
6Yuan-Kai Ho [35]
7Tsai-Ming Hsieh [13]
8Sheng-Yu Hsu [17] [28]
9Yi-Siang Hsu [8]
10Yu-Chin Hsu [1] [2]
11Man-Lin Huang [31]
12Cheng-Tsung Hwang [1]
13Jian-Yuan Lai [5] [7] [10] [11] [20]
14Chih-Hung Lee [13]
15Chih-Yuan Lin [13]
16Jia-Zong Lin [35]
17Shi-Zhi Liu [20]
18Ta-Yung Liu [2]
19Feng-Pin Lu [16]
20Yu-Sheng Lu [35]
21Yow-Tyng Nieh [9] [16] [17] [19] [22] [23] [24] [27] [28] [29] [32] [36]
22Yen-Jen Oyang [1] [2]
23Wen-Hon Peng [7]
24Chin-Hung Su [13]
25Chu-Liao Wang [6] [31]
26Hsin-Po Wang [35]
27Wei-Ting Yen [30]
28Wei-Chieh Yu [23]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)