DATE 2007:
Nice,
France
Rudy Lauwereins, Jan Madsen (Eds.):
2007 Design, Automation and Test in Europe Conference and Exposition (DATE 2007), April 16-20, 2007, Nice, France.
ACM 2007, ISBN 978-3-9810801-2-4 BibTeX
Design records
- Njuguna Njoroge, Jared Casper, Sewook Wee, Yuriy Teslyar, Daxia Ge, Christos Kozyrakis, Kunle Olukotun:
ATLAS: a chip-multiprocessor with transactional memory support.
3-8
Electronic Edition (ACM DL) BibTeX
- Fabio Campi, Antonio Deledda, Matteo Pizzotti, Luca Ciccarelli, Pier Luigi Rolandi, Claudio Mucci, Andrea Lodi, Arseni Vitkovski, Luca Vanzolini:
A dynamically adaptive DSP for heterogeneous reconfigurable platforms.
9-14
Electronic Edition (ACM DL) BibTeX
- Phillip Stanley-Marbell, Diana Marculescu:
An 0.9 × 1.2", low power, energy-harvesting system with custom multi-channel communication interface.
15-20
Electronic Edition (ACM DL) BibTeX
- Zhuan Ye, John Grosspietsch, Gokhan Memik:
Interactive presentation: An FPGA based all-digital transmitter with radio frequency output for software defined radio.
21-26
Electronic Edition (ACM DL) BibTeX
Design for testability for SoCs
Communication synthesis under timing constraints
Performance modelling and synthesis of analogue/mixed-signal circuits
- Varun Aggarwal, Una-May O'Reilly:
Simulation-based reusable posynomial models for MOS transistor parameters.
69-74
Electronic Edition (ACM DL) BibTeX
- Daniel Mueller, Helmut E. Graeb, Ulf Schlichtmann:
Trade-off design of analog circuits using goal attainment and "Wave Front" sequential quadratic programming.
75-80
Electronic Edition (ACM DL) BibTeX
- Tom Eeckelaert, Raf Schoofs, Georges G. E. Gielen, Michiel Steyaert, Willy M. C. Sansen:
An efficient methodology for hierarchical synthesis of mixed-signal systems with fully integrated building block topology selection.
81-86
Electronic Edition (ACM DL) BibTeX
- Ömer Yetik, Orkun Saglamdemir, Selçuk Talay, Günhan Dündar:
Interactive presentation: A coefficient optimization and architecture selection tool for SigmaDelta modulators in MATLAB.
87-92
Electronic Edition (ACM DL) BibTeX
System level mapping and simulation
- Wei Zheng, Marco Di Natale, Claudio Pinello, Paolo Giusto, Alberto L. Sangiovanni-Vincentelli:
Synthesis of task and message activation models in real-time distributed automotive systems.
93-98
Electronic Edition (ACM DL) BibTeX
- Christopher Ostler, Karam S. Chatha:
An ILP formulation for system-level application mapping on network processor architectures.
99-104
Electronic Edition (ACM DL) BibTeX
- Paolo Destro, Franco Fummi, Graziano Pravadelli:
A smooth refinement flow for co-designing HW and SW threads.
105-110
Electronic Edition (ACM DL) BibTeX
- Youssef N. Naguib, Rafik S. Guindi:
Speeding up SystemC simulation through process splitting.
111-116
Electronic Edition (ACM DL) BibTeX
- Akash Kumar, Andreas Hansson, Jos Huisken, Henk Corporaal:
Interactive presentation: An FPGA design flow for reconfigurable network-based multi-processor systems on chip.
117-122
Electronic Edition (ACM DL) BibTeX
Algorithms and applications of run-time reconfiguration
- Florian Dittmann, Stefan Frank:
Hard real-time reconfiguration port scheduling.
123-128
Electronic Edition (ACM DL) BibTeX
- Jin Cui, Qingxu Deng, Xiuqiang He, Zonghua Gu:
An efficient algorithm for online management of 2D area of partially reconfigurable FPGAs.
129-134
Electronic Edition (ACM DL) BibTeX
- Ahmed A. El Farag, Hatem M. El-Boghdadi, Samir I. Shaheen:
Improving utilization of reconfigurable resources using two dimensional compaction.
135-140
Electronic Edition (ACM DL) BibTeX
- Roman L. Lysecky:
Low-power warp processor for power efficient high-performance embedded systems.
141-146
Electronic Edition (ACM DL) BibTeX
- Yang Qu, Juha-Pekka Soininen, Jari Nurmi:
Interactive presentation: Using dynamic voltage scaling to reduce the configuration energy of run time reconfigurable devices.
147-152
Electronic Edition (ACM DL) BibTeX
- Mona Safar, Mohamed Shalan, M. Watheq El-Kharashi, Ashraf Salem:
Interactive presentation: A shift register based clause evaluator for reconfigurable SAT solver.
153-158
Electronic Edition (ACM DL) BibTeX
IP designs for media processing and other computational intensive kernels
- Markos Papadonikolakis, Vasilleios Pantazis, Athanasios Kakarountas:
Efficient high-performance ASIC implementation of JPEG-LS encoder.
159-164
Electronic Edition (ACM DL) BibTeX
- Yen-Jen Chang, Yuan-Hong Liao, Shanq-Jang Ruan:
Improve CAM power efficiency using decoupled match line scheme.
165-170
Electronic Edition (ACM DL) BibTeX
- André B. J. Kokkeler, Gerard J. M. Smit, Thijs Krol, Jan Kuper:
Cyclostationary feature detection on a tiled-SoC.
171-176
Electronic Edition (ACM DL) BibTeX
- C. Arbelo, Andreas Kanstein, Sebastián López, José Francisco López, Mladen Berekovic, Roberto Sarmiento, Jean-Yves Mignolet:
Mapping control-intensive video kernels onto a coarse-grain reconfigurable architecture: the H.264/AVC deblocking filter.
177-182
Electronic Edition (ACM DL) BibTeX
- Esra Sahin, Ilker Hamzaoglu:
Interactive presentation: An efficient hardware architecture for H.264 intra prediction algorithm.
183-188
Electronic Edition (ACM DL) BibTeX
- Ramanathan Narayanan, Daniel Honbo, Gokhan Memik, Alok N. Choudhary, Joseph Zambreno:
Interactive presentation: An FPGA implementation of decision tree classification.
189-194
Electronic Edition (ACM DL) BibTeX
- Nishant R. Srivastava:
Interactive presentation: Radix 4 SRT division with quotient prediction and operand scaling.
195-200
Electronic Edition (ACM DL) BibTeX
Test infrastructure of SoCs and its verification
- Zhanglei Wang, Krishnendu Chakrabarty, Seongmoon Wang:
SoC testing using LFSR reseeding, and scan-slice-based TAM optimization and test scheduling.
201-206
Electronic Edition (ACM DL) BibTeX
- Anders Larsson, Erik Larsson, Petru Eles, Zebo Peng:
Optimized integration of test compression and sharing for SOC testing.
207-212
Electronic Edition (ACM DL) BibTeX
- Oliver Spang, Hans Martin von Staudt, Michael G. Wahl:
A sophisticated memory test engine for LCD display drivers.
213-218
Electronic Edition (ACM DL) BibTeX
- Thuyen Le, Tilman Glökler, Jason Baumgartner:
Formal verification of a pervasive interconnect bus system in a high-performance microprocessor.
219-224
Electronic Edition (ACM DL) BibTeX
- Ehab Anis, Nicola Nicolici:
Interactive presentation: Low cost debug architecture using lossy compression for silicon debug.
225-230
Electronic Edition (ACM DL) BibTeX
- Tomokazu Yoneda, Masahiro Imanishi, Hideo Fujiwara:
Interactive presentation: An SoC test scheduling algorithm using reconfigurable union wrappers.
231-236
Electronic Edition (ACM DL) BibTeX
Hot topic - Microprocessors in the era of terascale integration
Statistical/nonlinear analysis and verification for analogue circuits
- M. Zhang, Markus Olbrich, D. Seider, M. Frerichs, H. Kinzelbach, Erich Barke:
CMCal: an accurate analytical approach for the analysis of process variations with non-gaussian parameters and nonlinear functions.
243-248
Electronic Edition (ACM DL) BibTeX
- Ghiath Al Sammane, Mohamed H. Zaki, Sofiène Tahar:
A symbolic methodology for the verification of analog and mixed signal designs.
249-254
Electronic Edition (ACM DL) BibTeX
- Dani Tannir, Roni Khazaka:
Efficient nonlinear distortion analysis of RF circuits.
255-260
Electronic Edition (ACM DL) BibTeX
- Jonathan Borremans, Ludwig De Locht, Piet Wambacq, Yves Rolain:
Nonlinearity analysis of Analog/RF circuits using combined multisine and volterra analysis.
261-266
Electronic Edition (ACM DL) BibTeX
- J. Lataire, Gerd Vandersteen, Rik Pintelon:
Interactive presentation: Optimizing analog filter designs for minimum nonlinear distortions using multisine excitations.
267-272
Electronic Edition (ACM DL) BibTeX
System modeling and specification
Design space exploration and nano-technologies for reconfigurable computing
- Soumya Eachempati, Arthur Nieuwoudt, Aman Gayasen, Narayanan Vijaykrishnan, Yehia Massoud:
Assessing carbon nanotube bundle interconnect for future FPGA architectures.
307-312
Electronic Edition (ACM DL) BibTeX
- Scott Sirowy, Yonghui Wu, Stefano Lonardi, Frank Vahid:
Two-level microprocessor-accelerator partitioning.
313-318
Electronic Edition (ACM DL) BibTeX
- Anupam Chattopadhyay, W. Ahmed, Kingshuk Karuri, David Kammler, Rainer Leupers, Gerd Ascheid, Heinrich Meyr:
Design space exploration of partially re-configurable embedded processors.
319-324
Electronic Edition (ACM DL) BibTeX
- Hamid Noori, Farhad Mehdipour, Kazuaki Murakami, Koji Inoue, Maziar Goudarzi:
Interactive presentation: Generating and executing multi-exit custom instructions for an adaptive extensible processor.
325-330
Electronic Edition (ACM DL) BibTeX
Implementation of LDPC codecs for various communication standards
- Torben Brack, Matthias Alles, Timo Lehnigk-Emden, Frank Kienle, Norbert Wehn, Nicola E. L'Insalata, Francesco Rossi, Massimo Rovini, Luca Fanucci:
Low complexity LDPC code decoders for next generation standards.
331-336
Electronic Edition (ACM DL) BibTeX
- John Dielissen, Andries Hekstra:
Non-fractional parallelism in LDPC decoder implementations.
337-342
Electronic Edition (ACM DL) BibTeX
- Weihuang Wang, Gwan Choi:
Minimum-energy LDPC decoder for real-time mobile application.
343-348
Electronic Edition (ACM DL) BibTeX
- Zahid Khan, Tughrul Arslan:
Pipelined implementation of a real time programmable encoder for low density parity check code on a reconfigurable instruction cell architecture.
349-354
Electronic Edition (ACM DL) BibTeX
- Claudio Mucci, Luca Vanzolini, Fabio Campi, Mario Toma:
Interactive presentation: Implementation of AES/Rijndael on a dynamically reconfigurable architecture.
355-360
Electronic Edition (ACM DL) BibTeX
Testing NoCs
Synthesis at system and architectural levels
- Qubo Hu, Arnout Vandecappelle, Per Gunnar Kjeldsberg, Francky Catthoor, Martin Palkovic:
Fast memory footprint estimation based on maximal dependency vector calculation.
379-384
Electronic Edition (ACM DL) BibTeX
- Hongwei Zhu, Ilie I. Luican, Florin Balasa:
Mapping multi-dimensional signals into hierarchical memory organizations.
385-390
Electronic Edition (ACM DL) BibTeX
- Srikanth Kurra, Neeraj Kumar Singh, Preeti Ranjan Panda:
The impact of loop unrolling on controller delay in high level synthesis.
391-396
Electronic Edition (ACM DL) BibTeX
- Scott Sirowy, Yonghui Wu, Stefano Lonardi, Frank Vahid:
Clock-frequency assignment for multiple clock domain systems-on-a-chip.
397-402
Electronic Edition (ACM DL) BibTeX
- Siddharth Garg, Diana Marculescu:
Interactive presentation: System-level process variation driven throughput analysis for single and multiple voltage-frequency island designs.
403-408
Electronic Edition (ACM DL) BibTeX
- Michael Glaß, Martin Lukasiewycz, Thilo Streichert, Christian Haubelt, Jürgen Teich:
Interactive presentation: Reliability-aware system synthesis.
409-414
Electronic Edition (ACM DL) BibTeX
Analogue and mixed-signal design and characterization
- Pengbo Sun, Ying Wei, Alex Doboli:
Flexibility-oriented design methodology for reconfigurable DeltaSigma modulators.
415-420
Electronic Edition (ACM DL) BibTeX
- Gianvito Matarrese, Cristoforo Marzocca, Francesco Corsi, Stefano D'Amico, Andrea Baschirotto:
Experimental validation of a tuning algorithm for high-speed filters.
421-426
Electronic Edition (ACM DL) BibTeX
- Hamed Aminzadeh, Mohammad Danaie, Reza Lotfi:
Design of high-resolution MOSFET-only pipelined ADCs with digital calibration.
427-432
Electronic Edition (ACM DL) BibTeX
- Jafar Savoj, Ali-Azam Abbasfar, Amir Amirkhany, Bruno W. Garlepp, Mark A. Horowitz:
A new technique for characterization of digital-to-analog converters in high-speed systems.
433-438
Electronic Edition (ACM DL) BibTeX
Should you trust the surgeon or the family doctor?
Automatic synthesis of computation intensive application specific circuits
Embedded tutorial
Automotive
- Matthias Krause, Oliver Bringmann, André Hergenhan, Gökhan Tabanoglu, Wolfgang Rosenstiel:
Timing simulation of interconnected AUTOSAR software-components.
474-479
Electronic Edition (ACM DL) BibTeX
- Sergio Saponara, Esa Petri, Marco Tonarelli, Iacopo Del Corona, Luca Fanucci:
FPGA-based networking systems for high data-rate and reliable in-vehicle communications.
480-485
Electronic Edition (ACM DL) BibTeX
- Francesco D'Ascoli, Francesco Iozzi, Corrado Marino, Massimiliano Melani, Marco Tonarelli, Luca Fanucci, A. Giambastiani, A. Rocchi, Marco De Marinis:
Low-g accelerometer fast prototyping for automotive applications.
486-491
Electronic Edition (ACM DL) BibTeX
- Riccardo Mariani, Gabriele Boschi, Federico Colucci:
Using an innovative SoC-level FMEA methodology to design in compliance with IEC61508.
492-497
Electronic Edition (ACM DL) BibTeX
- Christopher Claus, Johannes Zeppenfeld, Florian Helmut Müller, Walter Stechele:
Using partial-run-time reconfigurable hardware to accelerate video processing in driver assistance system.
498-503
Electronic Edition (ACM DL) BibTeX
- Patrick Popp, Marco Di Natale, Paolo Giusto, Sri Kanajan, Claudio Pinello:
Interactive presentation: Towards a methodology for the quantitative evaluation of automotive architectures.
504-509
Electronic Edition (ACM DL) BibTeX
Test generation for diagnosis,
scan testing and advanced memory fault models
- Yu Huang:
Dynamic learning based scan chain diagnosis.
510-515
Electronic Edition (ACM DL) BibTeX
- Ozgur Sinanoglu, Philip Schremmer:
Diagnosis, modeling and tolerance of scan chain hold-time violations.
516-521
Electronic Edition (ACM DL) BibTeX
- Irith Pomeranz, Sudhakar M. Reddy:
On test generation by input cube avoidance.
522-527
Electronic Edition (ACM DL) BibTeX
- A. Ney, Patrick Girard, Christian Landrault, Serge Pravossoudovitch, Arnaud Virazel, Magali Bastian:
Slow write driver faults in 65nm SRAM technology: analysis and March test solution.
528-533
Electronic Edition (ACM DL) BibTeX
- V. R. Devanathan, C. P. Ravikumar, V. Kamakoti:
Interactive presentation: On power-profiling and pattern generation for power-safe scan tests.
534-539
Electronic Edition (ACM DL) BibTeX
- Kunal P. Ganeshpure, Sandip Kundu:
Interactive presentation: Automatic test pattern generation for maximal circuit noise in multiple aggressor crosstalk faults.
540-545
Electronic Edition (ACM DL) BibTeX
Future design challenges
- Yu Wang, Hong Luo, Ku He, Rong Luo, Huazhong Yang, Yuan Xie:
Temperature-aware NBTI modeling and the impact of input vector control on performance degradation.
546-551
Electronic Edition (ACM DL) BibTeX
- Tao Xu, Krishnendu Chakrabarty:
A cross-referencing-based droplet manipulation method for high-throughput and pin-constrained digital microfluidic arrays.
552-557
Electronic Edition (ACM DL) BibTeX
- Zeljko Zilic, Katarzyna Radecka, Ali Kazamiphur:
Reversible circuit technology mapping from non-reversible specifications.
558-563
Electronic Edition (ACM DL) BibTeX
- Nicholas H. Zamora, Jung-Chun Kao, Radu Marculescu:
Distributed power-management techniques for wireless network video systems.
564-569
Electronic Edition (ACM DL) BibTeX
- Federico Angiolini, M. Haykel Ben Jamaa, David Atienza, Luca Benini, Giovanni De Micheli:
Interactive presentation: Improving the fault tolerance of nanometric PLA designs.
570-575
Electronic Edition (ACM DL) BibTeX
- Kundan Nepal, R. Iris Bahar, Joseph L. Mundy, William R. Patterson, Alexander Zaslavsky:
Interactive presentation: Techniques for designing noise-tolerant multi-level combinational circuits.
576-581
Electronic Edition (ACM DL) BibTeX
Application-specific architectures
- Seok-Won Seong, Prabhat Mishra:
An efficient code compression technique using application-aware bitmask and dictionary selection methods.
582-587
Electronic Edition (ACM DL) BibTeX
- Kubilay Atasu, Robert G. Dimond, Oskar Mencer, Wayne Luk, Can C. Özturan, Günhan Dündar:
Optimizing instruction-set extensible processors under data bandwidth constraints.
588-593
Electronic Edition (ACM DL) BibTeX
- Juan Hamers, Lieven Eeckhout:
Resource prediction for media stream decoding.
594-599
Electronic Edition (ACM DL) BibTeX
- JongSoo Park, Sung-Boem Park, James D. Balfour, David Black-Schaffer, Christos Kozyrakis, William J. Dally:
Register pointer architecture for efficient embedded processors.
600-605
Electronic Edition (ACM DL) BibTeX
- S. van Haastregt, Peter M. W. Knijnenburg:
Interactive presentation: Feasibility of combined area and performance optimization for superscalar processors using random search.
606-611
Electronic Edition (ACM DL) BibTeX
- Athanasios Milidonis, N. Alachiotis, V. Porpodas, Haralambos Michail, Athanasios Kakarountas, Constantinos E. Goutis:
Interactive presentation: A decoupled architecture of processors with scratch-pad memory hierarchy.
612-617
Electronic Edition (ACM DL) BibTeX
Technology and process aware low power circuit design
Hardware implementation of MPSoCs and NoCs architectures
- André C. Nácul, Francesco Regazzoni, Marcello Lajolo:
Hardware scheduling support in SMP architectures.
642-647
Electronic Edition (ACM DL) BibTeX
- Tobias Bjerregaard, Mikkel Bystrup Stensgaard, Jens Sparsø:
A scalable, timing-safe, network-on-chip architecture with an integrated clock distribution method.
648-653
Electronic Edition (ACM DL) BibTeX
- Hazem Moussa, Olivier Muller, Amer Baghdadi, Michel Jézéquel:
Butterfly and benes-based on-chip communication networks for multiprocessor turbo decoding.
654-659
Electronic Edition (ACM DL) BibTeX
- Simone Medardoni, Martino Ruggiero, Davide Bertozzi, Luca Benini, Giovanni Strano, Carlo Pistritto:
Interactive presentation: Capturing the interaction of the communication, memory and I/O subsystems in memory-centric industrial MPSoC platforms.
660-665
Electronic Edition (ACM DL) BibTeX
Hot topic I:
Security and trust in ubiquitous communication (ubiquitous communication and computation special day)
Keynote:
ubiquitous communication and computation special day
Industrial system designs in aerospace,
avionics and automotive
- Louis Baguena, Emmanuel Liégeon, Alexandra Bépoix, Jean-Marc Dusserre, Christophe Oustric, Philippe Bellocq, Vincent Heiries:
Development of on board, highly flexible, Galileo signal generator ASIC.
679-683
Electronic Edition (ACM DL) BibTeX
- D. Hairion, S. Emeriau, E. Combot, M. Sarlotte:
New safety critical radio altimeter for airbus and related design flow.
684-688
Electronic Edition (ACM DL) BibTeX
- Robert Lissel, Joachim Gerlach, Robert Bosch GmbH:
Introducing new verification methods into a company's design flow: an industrial user's point of view.
689-694
Electronic Edition (ACM DL) BibTeX
Mixed-signal and RF test
- Mitchell Lin, Kwang-Ting (Tim) Cheng:
Testable design for advanced serial-link transceivers.
695-700
Electronic Edition (ACM DL) BibTeX
- David C. Keezer, Dany Minier, Patrice Ducharme:
Method for reducing jitter in multi-gigahertz ATE.
701-706
Electronic Edition (ACM DL) BibTeX
- Jens Anders, Shaji Krishnan, Guido Gronthoud:
Re-configuration of sub-blocks for effective application of time domain tests.
707-712
Electronic Edition (ACM DL) BibTeX
- Erdem Serkan Erdogan, Sule Ozev:
An ADC-BiST scheme using sequential code analysis.
713-718
Electronic Edition (ACM DL) BibTeX
- Jerzy Dabrowski, Rashad Ramzan:
Interactive presentation: Boosting SER test for RF transceivers by simple DSP technique.
719-724
Electronic Edition (ACM DL) BibTeX
- P. Yeung, A. Torres, P. Batra:
Interactive presentation: Novel test infrastructure and methodology used for accelerated bring-up and in-system characterization of the multi-gigahertz interfaces on the cell processor.
725-730
Electronic Edition (ACM DL) BibTeX
- Jeanne Tongbong, Salvador Mir, Jean-Louis Carbonéro:
Interactive presentation: Evaluation of test measures for LNA production testing using a multinormal statistical model.
731-736
Electronic Edition (ACM DL) BibTeX
Embedded tutorial and panel - Heterogeneous systems on chip and systems in package
Novel directions in architectural simulation and validation
- Ilya Wagner, Valeria Bertacco:
Engineering trust with semantic guardians.
743-748
Electronic Edition (ACM DL) BibTeX
- Dohyung Kim, Soonhoi Ha, Rajesh Gupta:
CATS: cycle accurate transaction-driven simulation with multiple processor simulators.
749-754
Electronic Edition (ACM DL) BibTeX
- Ann Gordon-Ross, Pablo Viana, Frank Vahid, Walid A. Najjar, Edna Barros:
A one-shot configurable-cache tuner for improved energy and performance.
755-760
Electronic Edition (ACM DL) BibTeX
- Deepak Mathaikutty, Sandeep K. Shukla, Sreekumar V. Kodakara, David J. Lilja, Ajit Dingankar:
Design fault directed test generation for microprocessor validation.
761-766
Electronic Edition (ACM DL) BibTeX
- Wolfgang Ecker, Volkan Esen, Lars Schönberg, Thomas Steininger, Michael Velten, Michael Hull:
Interactive presentation: Impact of description language, abstraction layer, and value representation on simulation performance.
767-772
Electronic Edition (ACM DL) BibTeX
Power management
- Clemens Moser, Lothar Thiele, Davide Brunelli, Luca Benini:
Adaptive power management in energy harvesting systems.
773-778
Electronic Edition (ACM DL) BibTeX
- Qinru Qiu, Ying Tan, Qing Wu:
Stochastic modeling and optimization for robust power management in a partially observable system.
779-784
Electronic Edition (ACM DL) BibTeX
- Po-Kuan Huang, Soheil Ghiasi:
Efficient and scalable compiler-directed energy optimization for realtime applications.
785-790
Electronic Edition (ACM DL) BibTeX
- Linwei Niu, Gang Quan:
Interactive presentation: Peripheral-conscious scheduling on energy minimization for weakly hard real-time systems.
791-796
Electronic Edition (ACM DL) BibTeX
- Ryo Watanabe, Masaaki Kondo, Masashi Imai, Hiroshi Nakamura, Takashi Nanya:
Interactive presentation: Task scheduling under performance constraints for reducing the energy consumption of the GALS multi-processor SoC.
797-802
Electronic Edition (ACM DL) BibTeX
Advanced techniques for embedded processors design
Hot topic II
Best industrial systems designs in communication and multimedia
Nano and FIFO
- Hamidreza Hashempour, Fabrizio Lombardi:
Circuit-level modeling and detection of metallic carbon nanotube defects in carbon nanotube FETs.
841-846
Electronic Edition (ACM DL) BibTeX
- B. Jang, Y-B. Kim, F. Lombardi:
Error rate reduction in DNA self-assembly by non-constant monomer concentrations and profiling.
847-852
Electronic Edition (ACM DL) BibTeX
- Paul Wielage, Erik Jan Marinissen, Michel Altheimer, Clemens Wouters:
Design and DfT of a high-speed area-efficient embedded asynchronous FIFO.
853-858
Electronic Edition (ACM DL) BibTeX
- Tobias Dubois, Erik Jan Marinissen, Mohamed Azimane, Paul Wielage, Erik Larsson, Clemens Wouters:
Test quality analysis and improvement for an embedded asynchronous FIFO.
859-864
Electronic Edition (ACM DL) BibTeX
- Wenjing Rao, Alex Orailoglu, Ramesh Karri:
Interactive presentation: Logic level fault tolerance approaches targeting nanoelectronics PLAs.
865-869
Electronic Edition (ACM DL) BibTeX
System level validation
- Shan Tang, Qiang Xu:
A multi-core debug platform for NoC-based systems.
870-875
Electronic Edition (ACM DL) BibTeX
- L. Moss, Maxime de Nanclas, Luc Filion, Sebastien Fontaine, Guy Bois, M. Aboulhamid:
Seamless hardware/software performance co-monitoring in a codesign simulation environment with RTOS support.
876-881
Electronic Edition (ACM DL) BibTeX
- Nicola Bombieri, Franco Fummi, Graziano Pravadelli:
Incremental ABV for functional validation of TL-to-RTL design refinement.
882-887
Electronic Edition (ACM DL) BibTeX
- Ioannis Mavroidis, Ioannis Papaefstathiou:
Efficient testbench code synthesis for a hardware emulator system.
888-893
Electronic Edition (ACM DL) BibTeX
- Wolfgang Ecker, Volkan Esen, Thomas Steininger, Michael Velten, Michael Hull:
Interactive presentation: Implementation of a transaction level assertion framework in SystemC.
894-899
Electronic Edition (ACM DL) BibTeX
- Shireesh Verma, Ian G. Harris, Kiran Ramineni:
Interactive presentation: Automatic generation of functional coverage models from behavioral verilog descriptions.
900-905
Electronic Edition (ACM DL) BibTeX
Model-based design for embedded systems
- Kai Chen, Janos Sztipanovits, Sandeep Neema:
Compositional specification of behavioral semantics.
906-911
Electronic Edition (ACM DL) BibTeX
- Kai Huang, Lothar Thiele:
Performance analysis of multimedia applications using correlated streams.
912-917
Electronic Edition (ACM DL) BibTeX
- Vojtech Derbek, Christian Steger, Reinhold Weiss, Daniel Wischounig, Josef Preishuber-Pfluegl, Markus Pistauer:
Simulation platform for UHF RFID.
918-923
Electronic Edition (ACM DL) BibTeX
- Andreas Bauer, Markus Pister, Michael Tautschnig:
Tool-support for the analysis of hybrid systems and models.
924-929
Electronic Edition (ACM DL) BibTeX
- Thomas Huining Feng, Lynn Wang, Wei Zheng, Sri Kanajan, Sanjit A. Seshia:
Interactive presentation: Automatic model generation for black box real-time systems.
930-935
Electronic Edition (ACM DL) BibTeX
Life begins at 65 - unless you are mixed signal
Resource optimisation for best effort and quality of service
Hot topic
Designs in avionics,
military and space
- Grégory Gailliard, Eric Nicollet, Michel Sarlotte, François Verdier:
Transaction level modelling of SCA compliant software defined radio waveforms and platforms PIM/PSM.
966-971
Electronic Edition (ACM DL) BibTeX
- Ingemar Söderquist:
Event driven data processing architecture.
972-976
Electronic Edition (ACM DL) BibTeX
- Björn Fiethe, Harald Michalik, C. Dierker, Björn Osterloh, G. Zhou:
Reconfigurable system-on-chip data processing units for space imaging instruments.
977-982
Electronic Edition (ACM DL) BibTeX
- Bertrand Rousseau, Philippe Manet, D. Galerin, D. Merkenbreack, Jean-Didier Legat, F. Dedeken, Yves Gabriel:
Enabling certification for dynamic partial reconfiguration using a minimal flow.
983-988
Electronic Edition (ACM DL) BibTeX
- Julie Ferrigno, Philippe Perdu, Kevin Sanchez, Dean Lewis:
Identification of process/design issues during 0.18 µm technology qualification for space application.
989-993
Electronic Edition (ACM DL) BibTeX
- Philippe Manet, Daniel Maufroid, Leonardo Tosi, Marco Di Ciano, Olivier Mulertt, Yves Gabriel, Jean-Didier Legat, Denis Aulagnier, Christian Gamrat, Raffaele Liberati, Vincenzo La Barba:
Interactive presentation: RECOPS: reconfiguring programmable devices for military hardware electronics.
994-999
Electronic Edition (ACM DL) BibTeX
Timing analysis and validation
Model-based analysis and middleware of embedded systems
- Egor R. V. Bondarev, Michel R. V. Chaudron, Peter H. N. de With:
CARAT: a toolkit for design and performance analysis of component-based embedded systems.
1024-1029
Electronic Edition (ACM DL) BibTeX
- E. Alessio, Franco Fummi, Davide Quaglia, Maura Turolla:
Modeling and simulation alternatives for the design of networked embedded systems.
1030-1035
Electronic Edition (ACM DL) BibTeX
- Stylianos Mamagkakis, Dimitrios Soudris, Francky Catthoor:
Middleware design optimization of wireless protocols based on the exploitation of dynamic input patterns.
1036-1041
Electronic Edition (ACM DL) BibTeX
- Felix Jesús Villanueva, David Villa, Francisco Moya, Jesús Barba, Fernando Rincón, Juan Carlos López:
Lightweight middleware for seamless HW-SW interoperability, with application to wireless sensor networks.
1042-1047
Electronic Edition (ACM DL) BibTeX
- Franco Fummi, Giovanni Perbellini, R. Pietrangeli, Davide Quaglia:
Interactive presentation: A middleware-centric design flow for networked embedded systems.
1048-1053
Electronic Edition (ACM DL) BibTeX
Advanced architectures for low power optimization
- Ani Nahapetian, Paolo Lombardo, Andrea Acquaviva, Luca Benini, Majid Sarrafzadeh:
Dynamic reconfiguration in sensor networks with regenerative energy sources.
1054-1059
Electronic Edition (ACM DL) BibTeX
- Hwisung Jung, Massoud Pedram:
Dynamic power management under uncertain information.
1060-1065
Electronic Edition (ACM DL) BibTeX
- Praveen Raghavan, Andy Lambrechts, Murali Jayapala, Francky Catthoor, Diederik Verkest, Henk Corporaal:
Very wide register: an asymmetric register file organization for low power embedded processors.
1066-1071
Electronic Edition (ACM DL) BibTeX
- Mihir R. Choudhury, Kyle Ringgenberg, Scott Rixner, Kartik Mohanram:
Interactive presentation: Single-ended coding techniques for off-chip interconnects to commodity memory.
1072-1077
Electronic Edition (ACM DL) BibTeX
- Pietro Babighian, Gila Kamhi, Moshe Y. Vardi:
Interactive presentation: PowerQuest: trace driven data mining for power optimization.
1078-1083
Electronic Edition (ACM DL) BibTeX
Performance analysis for NoC architectures
- Matthieu Briere, Bruno Girodias, Youcef Bouchebaba, Gabriela Nicolescu, Fabien Mieyeville, Frédéric Gaffiot, Ian O'Connor:
System level assessment of an optical NoC in an MPSoC platform.
1084-1089
Electronic Edition (ACM DL) BibTeX
- Abbas Sheibanyrad, Ivan Miro Panades, Alain Greiner:
Systematic comparison between the asynchronous and the multi-synchronous implementations of a network on chip architecture.
1090-1095
Electronic Edition (ACM DL) BibTeX
- Ümit Y. Ogras, Radu Marculescu:
Analytical router modeling for networks-on-chip performance analysis.
1096-1101
Electronic Edition (ACM DL) BibTeX
- Christian Sauer, Matthias Gries, Sebastian Dirk:
Interactive presentation: Hard- and software modularity of the NOVA MPSoC platform.
1102-1107
Electronic Edition (ACM DL) BibTeX
State of the art for safety critical systems (space and aeronautics special day)
Secure systems
- Johann Großschädl, Stefan Tillich, Christian Rechberger, Michael Hofmann, Marcel Medwed:
Energy evaluation of software implementations of block ciphers under memory constraints.
1110-1115
Electronic Edition (ACM DL) BibTeX
- Monjur Alam, Sonai Ray, Debdeep Mukhopadhyay, Santosh Ghosh, Dipanwita Roy Chowdhury, Indranil Sengupta:
An area optimized reconfigurable encryptor for AES-Rijndael.
1116-1121
Electronic Edition (ACM DL) BibTeX
- Sri Hari Krishna Narayanan, Mahmut T. Kandemir, Richard R. Brooks:
Performance aware secure code partitioning.
1122-1127
Electronic Edition (ACM DL) BibTeX
- Najwa Aaraj, Anand Raghunathan, Srivaths Ravi, Niraj K. Jha:
Energy and execution time analysis of a software-based trusted platform module.
1128-1133
Electronic Edition (ACM DL) BibTeX
Reliable microarchitectures
- Luong Dinh Hung, Hidetsugu Irie, Masahiro Goshima, Shuichi Sakai:
Utilization of SECDED for soft error and variation-induced defect tolerance in caches.
1134-1139
Electronic Edition (ACM DL) BibTeX
- Satish Narayanasamy, Ayse Kivilcim Coskun, Brad Calder:
Transient fault prediction based on anomalies in processor events.
1140-1145
Electronic Edition (ACM DL) BibTeX
- Mojtaba Mehrara, Mona Attariyan, Smitha Shyam, Kypros Constantinides, Valeria Bertacco, Todd M. Austin:
Low-cost protection for SER upsets and silicon defects.
1146-1151
Electronic Edition (ACM DL) BibTeX
- Madhu Mutyam, Narayanan Vijaykrishnan:
Working with process variation aware caches.
1152-1157
Electronic Edition (ACM DL) BibTeX
- Ernesto Sánchez, Massimiliano Schillaci, Giovanni Squillero, Matteo Sonza Reorda:
Interactive presentation: An enhanced technique for the automatic generation of effective diagnosis-oriented test programs for processor.
1158-1163
Electronic Edition (ACM DL) BibTeX
- Qiang Zhu, Aviral Shrivastava, Nikil Dutt:
Interactive presentation: Functional and timing validation of partially bypassed processor pipelines.
1164-1169
Electronic Edition (ACM DL) BibTeX
Formal techniques to enhance the verification flow
- In-Ho Moon, Per Bjesse, Carl Pixley:
A compositional approach to the combination of combinational and sequential equivalence checking of circuits without known reset states.
1170-1175
Electronic Edition (ACM DL) BibTeX
- Daniel Große, Ulrich Kühne, Rolf Drechsler:
Estimating functional coverage in bounded model checking.
1176-1181
Electronic Edition (ACM DL) BibTeX
- Sean Safarpour, Andreas G. Veneris:
Abstraction and refinement techniques in automated design debugging.
1182-1187
Electronic Edition (ACM DL) BibTeX
- Roderick Bloem, Stefan Galler, Barbara Jobstmann, Nir Piterman, Amir Pnueli, Martin Weiglhofer:
Interactive presentation: Automatic hardware synthesis from specifications: a case study.
1188-1193
Electronic Edition (ACM DL) BibTeX
Interconnect extraction and synthesis
- Tarek Moselhy, Xin Hu, Luca Daniel:
pFFT in FastMaxwell: a fast impedance extraction solver for 3D conductor structures over substrate.
1194-1199
Electronic Edition (ACM DL) BibTeX
- Xin Hu, Tarek Moselhy, Jacob K. White, Luca Daniel:
Optimization-based wideband basis functions for efficient interconnect extraction.
1200-1205
Electronic Edition (ACM DL) BibTeX
- Mosin Mondal, Andrew J. Ricketts, Sami Kirolos, Tamer Ragheb, Greg M. Link, Narayanan Vijaykrishnan, Yehia Massoud:
Thermally robust clocking schemes for 3D integrated circuits.
1206-1211
Electronic Edition (ACM DL) BibTeX
- Tsai-Ying Lin, Tsung-Han Lin, Hui-Hsiang Tung, Rung-Bin Lin:
Double-via-driven standard cell library design.
1212-1217
Electronic Edition (ACM DL) BibTeX
- Jingye Xu, Abinash Roy, Masud H. Chowdhury:
Interactive presentation: Analysis of power consumption and BER of flip-flop based interconnect pipelining.
1218-1223
Electronic Edition (ACM DL) BibTeX
Embedded tutorial/panel - A future of customizable processors:
are we there yet?
Placement and floorplanning
Hot topic I - Industrial applications (space and aeronautics special day)
Keynote - Setting the industrial scene (space and aeronautics special day)
Crypto blocks and security
Variation tolerant mixed signal test
- José Luis Rosselló, Carol de Benito, Sebastià A. Bota, Jaume Segura:
Dynamic critical resistance: a timing-based critical resistance model for statistical delay testing of nanometer ICs.
1271-1276
Electronic Edition (ACM DL) BibTeX
- Tejasvi Das, P. R. Mukund:
Sensitivity analysis for fault-analysis and tolerance in RF front-end circuitry.
1277-1282
Electronic Edition (ACM DL) BibTeX
- Dongwoo Hong, Shadi Saberi, Kwang-Ting Cheng, C. Patrick Yue:
A two-tone test method for continuous-time adaptive equalizers.
1283-1288
Electronic Edition (ACM DL) BibTeX
- Robert C. Aitken, Sachin Idgunji:
Worst-case design and margin for embedded SRAM.
1289-1294
Electronic Edition (ACM DL) BibTeX
- Michele Favalli, Cecilia Metra:
Interactive presentation: Pulse propagation for the detection of small delay defects.
1295-1300
Electronic Edition (ACM DL) BibTeX
- Amir Zjajo, Manuel J. Barragan Asian, José Pineda de Gyvez:
Interactive presentation: BIST method for die-level process parameter variation monitoring in analog/mixed-signal integrated circuits.
1301-1306
Electronic Edition (ACM DL) BibTeX
SAT techniques for verification
Compiler techniques for customisable architectures
- Paolo Bonzini, Laura Pozzi:
Polynomial-time subgraph enumeration for automated instruction set extension.
1331-1336
Electronic Edition (ACM DL) BibTeX
- Mehrdad Reshadi, Daniel Gajski:
Interrupt and low-level programming support for expanding the application domain of statically-scheduled horizontal-microcoded architectures in embedded systems.
1337-1342
Electronic Edition (ACM DL) BibTeX
- Zhiguo Ge, Weng-Fai Wong, Hock-Beng Lim:
DRIM: a low power dynamically reconfigurable instruction memory hierarchy for embedded systems.
1343-1348
Electronic Edition (ACM DL) BibTeX
- Stefan Kraemer, Rainer Leupers, Gerd Ascheid, Heinrich Meyr:
Interactive presentation: SoftSIMD - exploiting subword parallelism using source code transformations.
1349-1354
Electronic Edition (ACM DL) BibTeX
- Sjoerd Meijer, Bart Kienhuis, Alexandru Turjan, Erwin A. de Kock:
Interactive presentation: A process splitting transformation for Kahn process networks.
1355-1360
Electronic Edition (ACM DL) BibTeX
Interconnect optimization and metastability
Physical and device simulation
- Amith Singhee, Rob A. Rutenbar:
Statistical blockade: a novel method for very fast Monte Carlo simulation of rare circuit events, and its application.
1379-1384
Electronic Edition (ACM DL) BibTeX
- Yi Feng, Zheng Zhou, Dong Tong, Xu Cheng:
Clock domain crossing fault model and coverage metric for validation of SoC design.
1385-1390
Electronic Edition (ACM DL) BibTeX
- Min Chen, Wei Zhao, Frank Liu, Yu Cao:
Fast statistical circuit analysis with finite-point based transistor model.
1391-1396
Electronic Edition (ACM DL) BibTeX
- W. Schneider, M. Schroter, W. Kraus, H. Wittkopf:
Interactive presentation: Statistical simulation of high-frequency bipolar circuits.
1397-1402
Electronic Edition (ACM DL) BibTeX
Hot topic II - Development and industrialization (space and aeronautics special day)
Wireless communication and networking system implementation
- Marcus Schämann, Sebastian Hessel, Ulrich Langmann, Martin Bücker:
Low power design on algorithmic and architectural level: a case study of an HSDPA baseband digital signal processing system.
1406-1411
Electronic Edition (ACM DL) BibTeX
- Cyprian Grassmann, Mathias Richter, Mirko Sauermann:
Mapping the physical layer of radio standards to multiprocessor architectures.
1412-1417
Electronic Edition (ACM DL) BibTeX
- K. Van Renterghem, P. Demuytere, Dieter Verhulst, Jan Vandewege, Xing-Zhi Qiu:
Development of an ASIP enabling flows in ethernet access using a retargetable compilation flow.
1418-1423
Electronic Edition (ACM DL) BibTeX
- Marco Crepaldi, Mario R. Casu, Mariagrazia Graziano, Maurizio Zamboni:
An effective AMS top-down methodology applied to the design of a mixed-signal UWB system-on-chip.
1424-1429
Electronic Edition (ACM DL) BibTeX
- E. Barajas, R. Cosculluela, D. Coutinho, D. Mateo, J. L. González, I. Cairò, S. Banda, M. Ikeda:
Interactive presentation: Behavioral modeling of delay-locked loops and its application to jitter optimization in ultra wide-band impulse radio systems.
1430-1435
Electronic Edition (ACM DL) BibTeX
Soft error evaluation and tolerance
Embedded tutorial - EDA - a pivotal theme in the european technology platforms - ARTEMIS and ENIAC
Memory and instruction-set customization for real-time systems
Order reduction and variation-aware interconnect modelling
- Ngai Wong:
Fast positive-real balanced truncation of symmetric systems using cross Riccati equations.
1496-1501
Electronic Edition (ACM DL) BibTeX
- Zhenhai Zhu, Joel R. Phillips:
Random sampling of moment graph: a stochastic Krylov-reduction algorithm.
1502-1507
Electronic Edition (ACM DL) BibTeX
- Jeffrey Fan, Ning Mi, Sheldon X.-D. Tan, Yici Cai, Xianlong Hong:
Statistical model order reduction for interconnect circuits considering spatial correlations.
1508-1513
Electronic Edition (ACM DL) BibTeX
- Hengliang Zhu, Xuan Zeng, Wei Cai, Jintao Xue, Dian Zhou:
A sparse grid based spectral stochastic collocation method for variations-aware capacitance extraction of interconnects under nanometer process technology.
1514-1519
Electronic Edition (ACM DL) BibTeX
- Stephane Bronckers, Charlotte Soens, Geert Van der Plas, Gerd Vandersteen, Yves Rolain:
Interactive presentation: Simulation methodology and experimental verification for the analysis of substrate noise on LC-VCO's.
1520-1525
Electronic Edition (ACM DL) BibTeX
Temperature and process aware low power techniques
- Yongpan Liu, Robert P. Dick, Li Shang, Huazhong Yang:
Accurate temperature-dependent integrated circuit leakage power estimation is easy.
1526-1531
Electronic Edition (ACM DL) BibTeX
- Swaroop Ghosh, Swarup Bhunia, Kaushik Roy:
Low-overhead circuit synthesis for temperature adaptation using dynamic voltage scheduling.
1532-1537
Electronic Edition (ACM DL) BibTeX
- Hratch Mangassarian, Andreas G. Veneris, Sean Safarpour, Farid N. Najm, Magdy S. Abadir:
Maximum circuit activity estimation using pseudo-boolean satisfiability.
1538-1543
Electronic Edition (ACM DL) BibTeX
- Ashoka Visweswara Sathanur, Andrea Calimera, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino:
Interactive presentation: Efficient computation of discharge current upper bounds for clustered sleep transistor sizing.
1544-1549
Electronic Edition (ACM DL) BibTeX
- Myeong-Eun Hwang, Tamer Cakici, Kaushik Roy:
Interactive presentation: Process tolerant beta-ratio modulation for ultra-dynamic voltage scaling.
1550-1555
Electronic Edition (ACM DL) BibTeX
Towards total open source in aeronautics and space? (space and aeronautics special day)
Wireless communication and networking algorithms
System reliability and security issues
- Sung-Jui (Song-Ra) Pan, Kwang-Ting Cheng:
A framework for system reliability analysis considering both system error tolerance and component test quality.
1581-1586
Electronic Edition (ACM DL) BibTeX
- Régis Leveugle, Abdelaziz Ammari, V. Maingot, E. Teyssou, Pascal Moitrel, Christophe Mourtel, Nathalie Feyt, Jean-Baptiste Rigaud, Assia Tria:
Experimental evaluation of protections against laser-induced faults and consequences on fault modeling.
1587-1592
Electronic Edition (ACM DL) BibTeX
- Benoît Godard, Jean Michel Daga, Lionel Torres, Gilles Sassatelli:
Evaluation of design for reliability techniques in embedded flash memories.
1593-1598
Electronic Edition (ACM DL) BibTeX
- Tong-Yu Hsieh, Kuen-Jong Lee, Melvin A. Breuer:
Reduction of detected acceptable faults for yield improvement via error-tolerance.
1599-1604
Electronic Edition (ACM DL) BibTeX
Statistical timing and worst-delay corner analysis
- A. Nardi, Emre Tuncer, S. Naidu, A. Antonau, S. Gradinaru, Tao Lin, J. Song:
Use of statistical timing analysis on real designs.
1605-1610
Electronic Edition (ACM DL) BibTeX
- Feng Wang, Yuan Xie, Hai Ju:
A novel criticality computation method in statistical timing analysis.
1611-1616
Electronic Edition (ACM DL) BibTeX
- Luís Guerra e Silva, Luis Miguel Silveira, Joel R. Phillips:
Efficient computation of the worst-delay corner.
1617-1622
Electronic Edition (ACM DL) BibTeX
Real-time methodologies
Impact of nanometer technologies in MPSoCs and SoC design
High-level memory and clock power optimization
- Olga Golubeva, Mirko Loghi, Massimo Poncino, Enrico Macii:
Architectural leakage-aware management of partitioned scratchpad memories.
1665-1670
Electronic Edition (ACM DL) BibTeX
- Mahmut T. Kandemir, Taylan Yemliha, Seung Woo Son, Ozcan Ozturk:
Memory bank aware dynamic loop scheduling.
1671-1676
Electronic Edition (ACM DL) BibTeX
- Saif Ali Butt, Stefan Schmermbeck, Jurij Rosenthal, Alexander Pratsch, Eike Schmidt:
System level clock tree synthesis for power optimization.
1677-1682
Electronic Edition (ACM DL) BibTeX
Copyright © Sat May 16 23:05:42 2009
by Michael Ley (ley@uni-trier.de)