dblp.uni-trier.dewww.uni-trier.de

William J. Dally

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo
Home Page

2009
120EEGeorge Michelogiannakis, James D. Balfour, William J. Dally: Elastic-buffer flow control for on-chip networks. HPCA 2009: 151-162
2008
119EEAbhishek Das, William J. Dally: Stream Scheduling: A Framework to Manage Bulk Operations in Memory Hierarchies. Euro-Par 2008: 337-349
118EEJohn Kim, William J. Dally, Steve Scott, Dennis Abts: Technology-Driven, Highly-Scalable Dragonfly Topology. ISCA 2008: 77-88
117EEManman Ren, Ji Young Park, Mike Houston, Alex Aiken, William J. Dally: A tuning framework for software-managed memory hierarchies. PACT 2008: 280-291
116EEMike Houston, Ji Young Park, Manman Ren, Timothy J. Knight, Kayvon Fatahalian, Alex Aiken, William J. Dally, Pat Hanrahan: A portable runtime interface for multi-level memory hierarchies. PPOPP 2008: 143-152
2007
115EEJongSoo Park, Sung-Boem Park, James D. Balfour, David Black-Schaffer, Christos Kozyrakis, William J. Dally: Register pointer architecture for efficient embedded processors. DATE 2007: 600-605
114EEWilliam J. Dally: Interconnect-Centric Computing. HPCA 2007: 1
113EEJung Ho Ahn, Mattan Erez, William J. Dally: Tradeoff between data-, instruction-, and thread-level parallelism in stream processors. ICS 2007: 126-137
112EEMattan Erez, Jung Ho Ahn, Jayanth Gummaraju, Mendel Rosenblum, William J. Dally: Executing irregular scientific applications on stream architectures. ICS 2007: 93-104
111EEJohn Kim, William J. Dally, Dennis Abts: Flattened butterfly: a cost-efficient topology for high-radix networks. ISCA 2007: 126-137
110EEShekhar Borkar, William J. Dally: Future of on-chip interconnection architectures. ISLPED 2007: 122
109EEJohn Kim, James D. Balfour, William J. Dally: Flattened Butterfly Topology for On-Chip Networks. MICRO 2007: 172-182
108EEWilliam J. Dally: Enabling Technology for On-Chip Interconnection Networks. NOCS 2007: 3
107EEJayanth Gummaraju, Mattan Erez, Joel Coburn, Mendel Rosenblum, William J. Dally: Architectural Support for the Stream Execution Model on General-Purpose Processors. PACT 2007: 3-12
106EEAbhishek Das, William J. Dally: Stream Scheduling: A Framework to Manage Bulk Operations in a Memory Hierarchy. PACT 2007: 405
105EETimothy J. Knight, Ji Young Park, Manman Ren, Mike Houston, Mattan Erez, Kayvon Fatahalian, Alex Aiken, William J. Dally, Pat Hanrahan: Compilation for explicitly managed memory hierarchies. PPOPP 2007: 226-236
104EEJohn Kim, James D. Balfour, William J. Dally: Flattened Butterfly Topology for On-Chip Networks. Computer Architecture Letters 6(2): 37-40 (2007)
103EEJohn D. Owens, William J. Dally, Ron Ho, D. N. Jayasimha, Stephen W. Keckler, Li-Shiuan Peh: Research Challenges for On-Chip Interconnection Networks. IEEE Micro 27(5): 96-108 (2007)
2006
102EEWilliam J. Dally: Computer Architecture in the Many-Core Era. ICCD 2006
101EEJames D. Balfour, William J. Dally: Design tradeoffs for tiled CMP on-chip networks. ICS 2006: 187-198
100EESteve Scott, Dennis Abts, John Kim, William J. Dally: The BlackWidow High-Radix Clos Network. ISCA 2006: 16-28
99EEAbhishek Das, William J. Dally, Peter R. Mattson: Compiling for stream processing. PACT 2006: 33-42
98EEThomas L. Sterling, Peter M. Kogge, William J. Dally, Steve Scott, William Gropp, David E. Keyes, Peter H. Beckman: Multi-core issues - Multi-Core for HPC: breakthrough or breakdown? SC 2006: 73
97EEJung Ho Ahn, Mattan Erez, William J. Dally: Architecture - The design space of data-parallel memory systems. SC 2006: 80
96EEKayvon Fatahalian, Daniel Reiter Horn, Timothy J. Knight, Larkhoon Leem, Mike Houston, Ji Young Park, Mattan Erez, Manman Ren, Alex Aiken, William J. Dally, Pat Hanrahan: Memory - Sequoia: programming the memory hierarchy. SC 2006: 83
95EEJohn Kim, William J. Dally, Dennis Abts: Interconnect routing and scheduling - Adaptive routing in high-radix clos network. SC 2006: 92
94EEAmit K. Gupta, William J. Dally: Topology optimization of interconnection networks. Computer Architecture Letters 5(1): 10-13 (2006)
93EEJung Ho Ahn, William J. Dally: Data parallel address architecture. Computer Architecture Letters 5(1): 30-33 (2006)
2005
92EEAndrew Chang, William J. Dally: Explaining the gap between ASIC and custom power: a custom perspective. DAC 2005: 281-284
91EEJung Ho Ahn, Mattan Erez, William J. Dally: Scatter-Add in Data Parallel Architectures. HPCA 2005: 132-142
90EEJohn Kim, William J. Dally, Brian Towles, Amit K. Gupta: Microarchitecture of a High-Radix Router. ISCA 2005: 420-431
89EEMattan Erez, Nuwan Jayasena, Timothy J. Knight, William J. Dally: Fault Tolerance Techniques for the Merrimac Streaming Supercomputer. SC 2005: 29
88EEWilliam J. Dally, Keith Diefendorff: Hot Chips 16: Power, Parallelism, and Memory Performance. IEEE Micro 25(2): 8-9 (2005)
2004
87EENuwan Jayasena, Mattan Erez, Jung Ho Ahn, William J. Dally: Stream Register Files with Indexed Access. HPCA 2004: 60-72
86EEJung Ho Ahn, William J. Dally, Brucek Khailany, Ujval J. Kapasi, Abhishek Das: Evaluating the Imagine Stream Architecture. ISCA 2004: 14-25
85EEMattan Erez, Jung Ho Ahn, Ankit Garg, William J. Dally, Eric Darve: Analysis and Performance Results of a Molecular Modeling Application on Merrimac. SC 2004: 42
84EEArjun Singh, William J. Dally, Amit K. Gupta, Brian Towles: Adaptive channel queue routing on k-ary n-cubes. SPAA 2004: 11-19
83EEWilliam J. Dally, Ujval J. Kapasi, Brucek Khailany, Jung Ho Ahn, Abhishek Das: Stream Processors: Progammability and Efficiency. ACM Queue 2(1): 52-62 (2004)
82EEArjun Singh, William J. Dally, Brian Towles, Amit K. Gupta: Globally Adaptive Load-Balanced Routing on Tori. Computer Architecture Letters 3: (2004)
2003
81EEBrucek Khailany, William J. Dally, Scott Rixner, Ujval J. Kapasi, John D. Owens, Brian Towles: Exploring the VLSI Scalability of Stream Processors. HPCA 2003: 153-164
80EEM.-J. Edward Lee, William J. Dally, Ramin Farjad-Rad, Hiok-Tiaq Ng, Ramesh Senthinathan, John H. Edmondson, John Poulton: CMOS High-Speed I/Os - Present and Future. ICCD 2003: 454-461
79EEArjun Singh, William J. Dally, Amit K. Gupta, Brian Towles: GOAL: A Load-Balanced Adaptive Routing Algorithm for Torus Networks. ISCA 2003: 194-205
78EEWilliam J. Dally, Francois Labonte, Abhishek Das, Pat Hanrahan, Jung Ho Ahn, Jayanth Gummaraju, Mattan Erez, Nuwan Jayasena, Ian Buck, Timothy J. Knight, Ujval J. Kapasi: Merrimac: Supercomputing with Streams. SC 2003: 35
77EEBrian Towles, William J. Dally, Stephen P. Boyd: Throughput-centric routing algorithm design. SPAA 2003: 200-209
76EEUjval J. Kapasi, Scott Rixner, William J. Dally, Brucek Khailany, Jung Ho Ahn, Peter R. Mattson, John D. Owens: Programmable Stream Processors. IEEE Computer 36(8): 54-62 (2003)
75EEBrian Towles, William J. Dally: Guaranteed scheduling for switches with configuration overhead. IEEE/ACM Trans. Netw. 11(5): 835-847 (2003)
2002
74EEAmit K. Gupta, William J. Dally, Arjun Singh, Brian Towles: Scalable Opto-Electronic Network (SOENet). Hot Interconnects 2002: 71-76
73EEUjval J. Kapasi, William J. Dally, Scott Rixner, John D. Owens, Brucek Khailany: The Imagine Stream Processor. ICCD 2002: 282-288
72EEBrucek Khailany, William J. Dally, Andrew Chang, Ujval J. Kapasi, Jinyung Namkoong, Brian Towles: VLSI Design and Verification of the Imagine Processor. ICCD 2002: 289-294
71EEJohn D. Owens, Scott Rixner, Ujval J. Kapasi, Peter R. Mattson, Brian Towles, Ben Serebrin, William J. Dally: Media Processing Applications on the Imagine Stream Processor. ICCD 2002: 295-302
70EEBen Serebrin, John D. Owens, Chen H. Chen, Stephen P. Crago, Ujval J. Kapasi, Peter R. Mattson, Jinyung Namkoong, Scott Rixner, William J. Dally: A Stream Processor Development Platform. ICCD 2002: 303-
69EEBrian Towles, William J. Dally: Guaranteed Scheduling for Switches with Configuration Overhead. INFOCOM 2002
68EEBrian Towles, William J. Dally: Worst-case traffic for oblivious routing functions. SPAA 2002: 1-8
67EEArjun Singh, William J. Dally, Brian Towles, Amit K. Gupta: Locality-preserving randomized oblivious routing on torus networks. SPAA 2002: 9-13
66EEK. A. Shaw, William J. Dally: Migration in Single Chip Multiprocessors. Computer Architecture Letters 1: (2002)
65EEBrian Towles, William J. Dally: Worst-case Traffic for Oblivious Routing Functions. Computer Architecture Letters 1: (2002)
2001
64EEWilliam J. Dally, Brian Towles: Route Packets, Not Wires: On-Chip Interconnection Networks. DAC 2001: 684-689
63EELi-Shiuan Peh, William J. Dally: A Delay Model and Speculative Architecture for Pipelined Routers. HPCA 2001: 255-266
62EEP. Chiang, William J. Dally, E. Lee: Monolithic chaotic communications system. ISCAS (3) 2001: 325-328
61EELi-Shiuan Peh, William J. Dally: A Delay Model for Router Microarchitectures. IEEE Micro 21(1): 26-34 (2001)
60EEWilliam J. Dally, Marc Tremblay, Allen J. Baum: Guest Editors' Introduction: Hot Chips 12. IEEE Micro 21(2): 13-15 (2001)
59EEBrucek Khailany, William J. Dally, Ujval J. Kapasi, Peter R. Mattson, Jinyung Namkoong, John D. Owens, Brian Towles, Andrew Chang, Scott Rixner: Imagine: Media Processing with Streams. IEEE Micro 21(2): 35-46 (2001)
2000
58EEPeter R. Mattson, William J. Dally, Scott Rixner, Ujval J. Kapasi, John D. Owens: Communication Scheduling. ASPLOS 2000: 82-92
57EEWilliam J. Dally, Andrew Chang: The role of custom design in ASIC Chips. DAC 2000: 643-647
56EEScott Rixner, William J. Dally, Brucek Khailany, Peter R. Mattson, Ujval J. Kapasi, John D. Owens: Register Organization for Media Processing. HPCA 2000: 375-386
55EELi-Shiuan Peh, William J. Dally: Flit-Reservation Flow Control. HPCA 2000: 73-84
54EEScott Rixner, William J. Dally, Ujval J. Kapasi, Peter R. Mattson, John D. Owens: Memory access scheduling. ISCA 2000: 128-138
53EEKen Mai, Tim Paaske, Nuwan Jayasena, Ron Ho, William J. Dally, Mark Horowitz: Smart Memories: a modular reconfigurable architecture. ISCA 2000: 161-171
52EENicholas P. Carter, William J. Dally, Whay Sing Lee, Stephen W. Keckler, Andrew Chang: Processor Mechanisms for Software Shared Memory. ISHPC 2000: 120-133
51EEUjval J. Kapasi, William J. Dally, Scott Rixner, Peter R. Mattson, John D. Owens, Brucek Khailany: Efficient conditional operations for data-parallel architectures. MICRO 2000: 159-170
1999
50EEWilliam J. Dally, Steve Lacy: VLSI Architecture: Past, Present, and Future. ARVLSI 1999: 232-241
49 Stephen W. Keckler, Andrew Chang, Whay Sing Lee, Sandeep Chatterjee, William J. Dally: Concurrent Event Handling through Multithreading. IEEE Trans. Computers 48(9): 903-916 (1999)
1998
48EEWilliam J. Dally, Linda Chao, Andrew A. Chien, Soha Hassoun, Waldemar Horwat, Jon Kaplan, Paul Song, Brian Totty, D. Scott Wills: Architecture of a Message-Driven Processor. 25 Years ISCA: Retrospectives and Reprints 1998: 337-344
47EEWilliam J. Dally, Andrew A. Chien, Stuart Fiske, Waldemar Horwat, Richard A. Lethin, Michael D. Noakes, Peter R. Nuth, Ellen Spertus, Deborah A. Wallach, D. Scott Wills, Andrew Chang, John S. Keen: Retrospective: the J-machine. 25 Years ISCA: Retrospectives and Reprints 1998: 54-58
46EEStephen W. Keckler, William J. Dally, Daniel Maskit, Nicholas P. Carter, Andrew Chang, Whay Sing Lee: Exploiting Fine-grain Thread Level Parallelism on the MIT Multi-ALU Processor. ISCA 1998: 306-317
45EEScott Rixner, William J. Dally, Ujval J. Kapasi, Brucek Khailany, Abelardo López-Lagunas, Peter R. Mattson, John D. Owens: A Bandwidth-efficient Architecture for Media Processing. MICRO 1998: 3-13
44 J. P. Grossman, William J. Dally: Point Sample Rendering. Rendering Techniques 1998: 181-192
43 Whay Sing Lee, William J. Dally, Stephen W. Keckler, Nicholas P. Carter, Andrew Chang: An Efficient, Protected Message Interface. IEEE Computer 31(11): 69-75 (1998)
1997
42EEJohn S. Keen, William J. Dally: Extended Ehemeral Logging: Log Storage Management for Applications with Long Lived Transactions. ACM Trans. Database Syst. 22(1): 1-42 (1997)
1995
41EELarry R. Dennison, William J. Dally, Thucydides Xanthopoulos: Low-latency plesiochronous data retiming. ARVLSI 1995: 304-315
40 Stuart Fiske, William J. Dally: Thread Prioritization: A Thread Scheduling Mechanism for Multiple-Context Parallel Processors. HPCA 1995: 210-221
39 Peter R. Nuth, William J. Dally: The Named-State Register File: Implementation and Performance. HPCA 1995: 4-13
38EEMarco Fillo, Stephen W. Keckler, William J. Dally, Nicholas P. Carter, Andrew Chang, Yevgeny Gurevich, Whay Sing Lee: The M-Machine multicomputer. MICRO 1995: 146-156
37 Ellen Spertus, William J. Dally: Evaluating the Locality Benefits of Active Messages. PPOPP 1995: 189-198
1994
36 Nicholas P. Carter, Stephen W. Keckler, William J. Dally: Hardware Support for Fast Capability-based Addressing. ASPLOS 1994: 319-327
35EEJohn S. Keen, William J. Dally: XEL: Extended Ephemeral Logging for Log Storage Management. CIKM 1994: 312-321
34 William J. Dally, Larry R. Dennison, David Harris, Kinhong Kan, Thucydides Xanthopoulos: The Reliable Router: A Reliable and High-Performance Communication Substrate for Parallel Computers. PCRCW 1994: 241-255
1993
33 Michael D. Noakes, Deborah A. Wallach, William J. Dally: The J-Machine Multicomputer: An Architectural Evaluation. ISCA 1993: 224-235
32 Ellen Spertus, Seth Copen Goldstein, Klaus E. Schauser, Thorsten von Eicken, David E. Culler, William J. Dally: Evaluation of Mechanisms for Fine-Grained Parallel Programs in the J-Machine and the CM-5. ISCA 1993: 302-313
31EEJohn S. Keen, William J. Dally: Performance Evaluation of Ephemeral Logging. SIGMOD Conference 1993: 187-196
30EEWilliam J. Dally, Hiromichi Aoki: Deadlock-Free Adaptive Routing in Multicomputer Networks Using Virtual Channels. IEEE Trans. Parallel Distrib. Syst. 4(4): 466-475 (1993)
29 William J. Dally: A Universal Parallel Computer Architecture. New Generation Comput. 11(3): 227-249 (1993)
1992
28 William J. Dally: A Universal Parallel Computer Architecture. FGCS 1992: 746-758
27 William J. Dally, Andrew A. Chien, Stuart Fiske, Greg Fyler, Waldemar Horwat, John S. Keen, Richard A. Lethin, Michael D. Noakes, Peter R. Nuth, D. Scott Wills: The Message Driven Processor: An Integrated Multicomputer Processing Element. ICCD 1992: 416-419
26 Peter R. Nuth, William J. Dally: The J-Machine Network. ICCD 1992: 420-423
25 Richard A. Lethin, William J. Dally: MDP Design Tools and Methods. ICCD 1992: 424-428
24 Stephen W. Keckler, William J. Dally: Processor Coupling: Integrating Compile Time and Runtime Scheduling for Parallelism. ISCA 1992: 202-213
23 William J. Dally: A Fast Translation Method for Paging on top of Segmentation. IEEE Trans. Computers 41(2): 247-250 (1992)
22EEWilliam J. Dally: Virtual-Channel Flow Control. IEEE Trans. Parallel Distrib. Syst. 3(2): 194-205 (1992)
1991
21 Peter R. Nuth, William J. Dally: A Mechanism for Efficient Context Switching. ICCD 1991: 301-304
20 Ellen Spertus, William J. Dally: Experiences Implementing Dataflow on a General-Purpose Parallel Computer. ICPP (2) 1991: 231-235
19 William J. Dally: Express Cubes: Improving the Performance of k-Ary n-Cube Interconnection Networks. IEEE Trans. Computers 40(9): 1016-1023 (1991)
1990
18 William J. Dally: Virtual-Channel Flow Control. ISCA 1990: 60-68
17 Andrew A. Chien, William J. Dally: Concurrent Aggregates (CA). PPOPP 1990: 187-196
16 William J. Dally: Performance Analysis of k-Ary n-Cube Interconnection Networks. IEEE Trans. Computers 39(6): 775-785 (1990)
15EEPrathima Agrawal, William J. Dally: A hardware logic simulation system. IEEE Trans. on CAD of Integrated Circuits and Systems 9(1): 19-29 (1990)
1989
14 William J. Dally: Micro-Optimization of Floating Point Operations. ASPLOS 1989: 283-289
13EEPrathima Agrawal, R. Tutundjian, William J. Dally: Algorithms for Accuracy Enhancement in a Hardware Logic Simulator. DAC 1989: 645-648
12 William J. Dally, Andrew A. Chien, Stuart Fiske, Waldemar Horwat, John S. Keen, Michael Larivee, Richard A. Lethin, Peter R. Nuth, D. Scott Wills: The J-Machine: A Fine-Gain Concurrent Computer. IFIP Congress 1989: 1147-1153
11 William J. Dally, D. Scott Wills: Universal Mechanisms for Concurrency. PARLE (1) 1989: 19-33
10 Waldemar Horwat, Andrew A. Chien, William J. Dally: Experience with CST: Programming and Implementation. PLDI 1989: 101-109
9EEWilliam J. Dally, Andrew A. Chien: Object-oriented concurrent programming in CST. SIGPLAN Notices 24(4): 28-31 (1989)
1988
8 William J. Dally: Mechanisms for Concurrent Computing. FGCS 1988: 154-156
7 Stuart Fiske, William J. Dally: The Reconfigurable Arithmetic Processor. ISCA 1988: 30-36
1987
6EEPrathima Agrawal, William J. Dally, Ahmed K. Ezzat, W. C. Fischer, H. V. Jagadish, A. S. Krishnakumar: Architecture and Design of the MARS Hardware Accelerator. DAC 1987: 101-107
5 William J. Dally, Linda Chao, Andrew A. Chien, Soha Hassoun, Waldemar Horwat, Jon Kaplan, Paul Song, Brian Totty, D. Scott Wills: Architecture of a Message-Driven Processor. ISCA 1987: 189-196
4 William J. Dally, Charles L. Seitz: Deadlock-Free Message Routing in Multiprocessor Interconnection Networks. IEEE Trans. Computers 36(5): 547-553 (1987)
1986
3 William J. Dally, Charles L. Seitz: The Torus Routing Chip. Distributed Computing 1(4): 187-196 (1986)
1985
2 William J. Dally, James T. Kajiya: An Object Oriented Architecture. ISCA 1985: 154-161
1EEWilliam J. Dally, Randal E. Bryant: A Hardware Architecture for Switch-Level Simulation. IEEE Trans. on CAD of Integrated Circuits and Systems 4(3): 239-250 (1985)

Coauthor Index

1Dennis Abts [95] [100] [111] [118]
2Prathima Agrawal [6] [13] [15]
3Jung Ho Ahn [76] [78] [83] [85] [86] [87] [91] [93] [97] [112] [113]
4Alexander Aiken (Alex Aiken) [96] [105] [116] [117]
5Hiromichi Aoki [30]
6James D. Balfour [101] [104] [109] [115] [120]
7Allen J. Baum [60]
8Peter H. Beckman [98]
9David Black-Schaffer [115]
10Shekhar Y. Borkar (Shekhar Borkar) [110]
11Stephen P. Boyd [77]
12Randal E. Bryant [1]
13Ian Buck [78]
14Nicholas P. Carter [36] [38] [43] [46] [52]
15Andrew Chang [38] [43] [46] [47] [49] [52] [57] [59] [72] [92]
16Linda Chao [5] [48]
17Sandeep Chatterjee [49]
18Chen H. Chen [70]
19P. Chiang [62]
20Andrew A. Chien [5] [9] [10] [12] [17] [27] [47] [48]
21Joel Coburn [107]
22Stephen P. Crago [70]
23David E. Culler [32]
24Eric Darve [85]
25Abhishek Das [78] [83] [86] [99] [106] [119]
26Larry R. Dennison [34] [41]
27Keith Diefendorff [88]
28John H. Edmondson [80]
29Thorsten von Eicken [32]
30Mattan Erez [78] [85] [87] [89] [91] [96] [97] [105] [107] [112] [113]
31Ahmed K. Ezzat [6]
32Ramin Farjad-Rad [80]
33Kayvon Fatahalian [96] [105] [116]
34Marco Fillo [38]
35W. C. Fischer [6]
36Stuart Fiske [7] [12] [27] [40] [47]
37Greg Fyler [27]
38Ankit Garg [85]
39Seth Copen Goldstein [32]
40William Gropp (William D. Gropp, Bill Gropp) [98]
41J. P. Grossman [44]
42Jayanth Gummaraju [78] [107] [112]
43Amit K. Gupta [67] [74] [79] [82] [84] [90] [94]
44Yevgeny Gurevich [38]
45Pat Hanrahan [78] [96] [105] [116]
46David Harris [34]
47Soha Hassoun [5] [48]
48Ron Ho [53] [103]
49Daniel Reiter Horn [96]
50Mark Horowitz [53]
51Waldemar Horwat [5] [10] [12] [27] [47] [48]
52Mike Houston [96] [105] [116] [117]
53H. V. Jagadish [6]
54Nuwan Jayasena [53] [78] [87] [89]
55D. N. Jayasimha [103]
56James T. Kajiya [2]
57Kinhong Kan [34]
58Ujval J. Kapasi [45] [51] [54] [56] [58] [59] [70] [71] [72] [73] [76] [78] [81] [83] [86]
59Jon Kaplan [5] [48]
60Stephen W. Keckler [24] [36] [38] [43] [46] [49] [52] [103]
61John S. Keen [12] [27] [31] [35] [42] [47]
62David E. Keyes [98]
63Brucek Khailany [45] [51] [56] [59] [72] [73] [76] [81] [83] [86]
64John Kim [90] [95] [100] [104] [109] [111] [118]
65Timothy J. Knight [78] [89] [96] [105] [116]
66Peter M. Kogge [98]
67Christoforos E. Kozyrakis (Christos Kozyrakis) [115]
68A. S. Krishnakumar [6]
69Francois Labonte [78]
70Steve Lacy [50]
71Michael Larivee [12]
72E. Lee [62]
73M.-J. Edward Lee [80]
74Whay Sing Lee [38] [43] [46] [49] [52]
75Larkhoon Leem [96]
76Richard A. Lethin [12] [25] [27] [47]
77Abelardo López-Lagunas [45]
78Ken Mai [53]
79Daniel Maskit [46]
80Peter R. Mattson [45] [51] [54] [56] [58] [59] [70] [71] [76] [99]
81George Michelogiannakis [120]
82Jinyung Namkoong [59] [70] [72]
83Hiok-Tiaq Ng [80]
84Michael D. Noakes [27] [33] [47]
85Peter R. Nuth [12] [21] [26] [27] [39] [47]
86John D. Owens [45] [51] [54] [56] [58] [59] [70] [71] [73] [76] [81] [103]
87Tim Paaske [53]
88Ji Young Park [96] [105] [116] [117]
89JongSoo Park [115]
90Sung-Boem Park [115]
91Li-Shiuan Peh [55] [61] [63] [103]
92John Poulton [80]
93Manman Ren [96] [105] [116] [117]
94Scott Rixner [45] [51] [54] [56] [58] [59] [70] [71] [73] [76] [81]
95Mendel Rosenblum [107] [112]
96Klaus E. Schauser [32]
97Steve Scott [98] [100] [118]
98Charles L. Seitz [3] [4]
99Ramesh Senthinathan [80]
100Ben Serebrin [70] [71]
101K. A. Shaw [66]
102Arjun Singh [67] [74] [79] [82] [84]
103Paul Song [5] [48]
104Ellen Spertus [20] [32] [37] [47]
105Thomas L. Sterling [98]
106Brian Totty [5] [48]
107Brian Towles [59] [64] [65] [67] [68] [69] [71] [72] [74] [75] [77] [79] [81] [82] [84] [90]
108Marc Tremblay [60]
109R. Tutundjian [13]
110Deborah A. Wallach [33] [47]
111D. Scott Wills [5] [11] [12] [27] [47] [48]
112Thucydides Xanthopoulos [34] [41]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)