dblp.uni-trier.dewww.uni-trier.de

Praveen Raghavan

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2009
19EEPraveen Raghavan, Andy Lambrechts, Murali Jayapala, Francky Catthoor, Diederik Verkest: Distributed Loop Controller for Multithreading in Unithreaded ILP Architectures. IEEE Trans. Computers 58(3): 311-321 (2009)
2008
18EEYuki Kobayashi, Murali Jayapala, Praveen Raghavan, Francky Catthoor, Masaharu Imai: Operation shuffling over cycle boundaries for low energy L0 clustering. ASAP 2008: 150-155
17EEPraveen Raghavan, Andy Lambrechts, Javed Absar, Murali Jayapala, Francky Catthoor, Diederik Verkest: Coffee: COmpiler Framework for Energy-Aware Exploration. HiPEAC 2008: 193-208
16EEAndy Lambrechts, Praveen Raghavan, Murali Jayapala, Francky Catthoor, Diederik Verkest: Energy-Aware Interconnect Optimization for a Coarse Grained Reconfigurable Processor. VLSI Design 2008: 201-207
15EEYuki Kobayashi, Murali Jayapala, Praveen Raghavan, Francky Catthoor, Masaharu Imai: Efficient Method to Generate an Energy Efficient Schedule Using Operation Shuffling. IEICE Transactions 91-A(2): 604-612 (2008)
14EEDavid Atienza, Praveen Raghavan, José Luis Ayala, Giovanni De Micheli, Francky Catthoor, Diederik Verkest, Marisa López-Vallejo: Joint hardware-software leakage minimization approach for the register file of VLIW embedded architectures. Integration 41(1): 38-48 (2008)
2007
13EEPraveen Raghavan, Satyakiran Munaga, Estela Rey Ramos, Andy Lambrechts, Murali Jayapala, Francky Catthoor, Diederik Verkest: A Customized Cross-Bar for Data-Shuffling in Domain-Specific SIMD Processors. ARCS 2007: 57-68
12EEJaved Absar, Min Li, Praveen Raghavan, Andy Lambrechts, Murali Jayapala, Arnout Vandecappelle, Francky Catthoor: Locality optimization in wireless applications. CODES+ISSS 2007: 125-130
11EEPraveen Raghavan, Andy Lambrechts, Murali Jayapala, Francky Catthoor, Diederik Verkest, Henk Corporaal: Very wide register: an asymmetric register file organization for low power embedded processors. DATE 2007: 1066-1071
10EEPraveen Raghavan, José L. Ayala, David Atienza, Francky Catthoor, Giovanni De Micheli, Marisa López-Vallejo: Reduction of Register File Delay Due to Process Variability in VLIW Embedded Processors. ISCAS 2007: 121-124
9EEPraveen Raghavan, Nandhavel Sethubalasubramanian, Satyakiran Munaga, Estela Rey Ramos, Murali Jayapala, Oliver Weiss, Francky Catthoor, Diederik Verkest: Semi Custom Design: A Case Study on SIMD Shufflers. PATMOS 2007: 433-442
8EEThomas Schuster, Bruno Bougard, Praveen Raghavan, Robert Priewasser, David Novo, Liesbet Van der Perre, Francky Catthoor: Design of a Low Power Pre-synchronization ASIP for Multimode SDR Terminals. SAMOS 2007: 322-332
7EEYuki Kobayashi, Murali Jayapala, Praveen Raghavan, Francky Catthoor, Masaharu Imai: Methodology for operation shuffling and L0 cluster generation for low energy heterogeneous VLIW processors. ACM Trans. Design Autom. Electr. Syst. 12(4): (2007)
6EEJosé L. Ayala, Marisa López-Vallejo, David Atienza, Praveen Raghavan, Francky Catthoor, Diederik Verkest: Energy-aware compilation and hardware design for VLIW embedded systems. IJES 3(1/2): 73-82 (2007)
2006
5EEPraveen Raghavan, Andy Lambrechts, Murali Jayapala, Francky Catthoor, Diederik Verkest: Distributed loop controller architecture for multi-threading in uni-threaded VLIW processors. DATE 2006: 339-344
4EEDavid Atienza, Praveen Raghavan, José L. Ayala, Giovanni De Micheli, Francky Catthoor, Diederik Verkest, Marisa López-Vallejo: Compiler-Driven Leakage Energy Reduction in Banked Register Files. PATMOS 2006: 107-116
3EEDaniele Paolo Scarpazza, Praveen Raghavan, David Novo, Francky Catthoor, Diederik Verkest: Software Simultaneous Multi-Threading, a Technique to Exploit Task-Level Parallelism to Improve Instruction- and Data-Level Parallelism. PATMOS 2006: 12-23
2005
2EEAndy Lambrechts, Praveen Raghavan, Anthony Leroy, Guillermo Talavera, Tom Vander Aa, Murali Jayapala, Francky Catthoor, Diederik Verkest, Geert Deconinck, Henk Corporaal, Frédéric Robert, Jordi Carrabina: Power Breakdown Analysis for a Heterogeneous NoC Platform Running a Video Application. ASAP 2005: 179-184
1EEYuki Kobayashi, Murali Jayapala, Praveen Raghavan, Francky Catthoor, Masaharu Imai: Operation Shuffling for Low Energy L0 Cluster Generation on Heterogeneous VLIW Processors. ESTImedia 2005: 81-86

Coauthor Index

1Tom Vander Aa [2]
2Javed Absar (Mohammed Javed Absar) [12] [17]
3David Atienza [4] [6] [10] [14]
4José Luis Ayala (José L. Ayala) [4] [6] [10] [14]
5Bruno Bougard [8]
6Jordi Carrabina (Jordi Carrabina Bordoll) [2]
7Francky Catthoor [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15] [16] [17] [18] [19]
8Henk Corporaal [2] [11]
9Geert Deconinck [2]
10Masaharu Imai [1] [7] [15] [18]
11Murali Jayapala [1] [2] [5] [7] [9] [11] [12] [13] [15] [16] [17] [18] [19]
12Yuki Kobayashi [1] [7] [15] [18]
13Andy Lambrechts [2] [5] [11] [12] [13] [16] [17] [19]
14Anthony Leroy [2]
15Min Li [12]
16Marisa López-Vallejo [4] [6] [10] [14]
17Giovanni De Micheli [4] [10] [14]
18Satyakiran Munaga [9] [13]
19David Novo [3] [8]
20Liesbet Van der Perre [8]
21Robert Priewasser [8]
22Estela Rey Ramos [9] [13]
23Frédéric Robert [2]
24Daniele Paolo Scarpazza [3]
25Thomas Schuster [8]
26Nandhavel Sethubalasubramanian [9]
27Guillermo Talavera [2]
28Arnout Vandecappelle [12]
29Diederik Verkest [2] [3] [4] [5] [6] [9] [11] [13] [14] [16] [17] [19]
30Oliver Weiss [9]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)