dblp.uni-trier.dewww.uni-trier.de

Erik Jan Marinissen

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2009
49EESandeep Kumar Goel, Erik Jan Marinissen, Anuja Sehgal, Krishnendu Chakrabarty: Testing of SoCs with Hierarchical Cores: Common Fallacies, Test Access Optimization, and Test Scheduling. IEEE Trans. Computers 58(3): 409-423 (2009)
2008
48EEOzgur Sinanoglu, Erik Jan Marinissen: Analysis of The Test Data Volume Reduction Benefit of Modular SOC Testing. DATE 2008: 182-187
2007
47EEPaul Wielage, Erik Jan Marinissen, Michel Altheimer, Clemens Wouters: Design and DfT of a high-speed area-efficient embedded asynchronous FIFO. DATE 2007: 853-858
46EETobias Dubois, Erik Jan Marinissen, Mohamed Azimane, Paul Wielage, Erik Larsson, Clemens Wouters: Test quality analysis and improvement for an embedded asynchronous FIFO. DATE 2007: 859-864
45EESandeep Kumar Goel, Erik Jan Marinissen: On-Chip Test Infrastructure Design for Optimal Multi-Site Testing of System Chips CoRR abs/0710.4687: (2007)
44EEErik Jan Marinissen, Axel Jantsch, Nicola Nicolici: DATE 07 workshop on diagnostic services in NoCs. IEEE Design & Test of Computers 24(5): 510 (2007)
2006
43EEAnuja Sehgal, Sandeep Kumar Goel, Erik Jan Marinissen, Krishnendu Chakrabarty: Hierarchy-aware and area-efficient test infrastructure design for core-based system chips. DATE 2006: 285-290
42EEAlexandre M. Amory, Kees Goossens, Erik Jan Marinissen, Marcelo Lubaszewski, Fernando Moraes: Wrapper Design for the Reuse of Networks-on-Chip as Test Access Mechanism. European Test Symposium 2006: 213-218
41EEMitra Subhasish, Ondrej Novák, Hana Kubatova, Bashir M. Al-Hashimi, Erik Jan Marinissen, C. P. Ravikumar: Conference Reports. IEEE Design & Test of Computers 23(4): 262-265 (2006)
2005
40EETom Waayers, Erik Jan Marinissen, Maurice Lousberg: IEEE Std 1500 Compliant Infrastructure forModular SOC Testing. Asian Test Symposium 2005: 450
39EESandeep Kumar Goel, Erik Jan Marinissen: On-Chip Test Infrastructure Design for Optimal Multi-Site Testing of System Chips. DATE 2005: 44-49
38EEErik Jan Marinissen, Betty Prince, Doris Keitel-Schulz, Yervant Zorian: Challenges in Embedded Memory Design and Test. DATE 2005: 722-727
37EEHenk D. L. Hollmann, Erik Jan Marinissen, Bart Vermeulen: Optimal Interconnect ATPG Under a Ground-Bounce Constraint. J. Electronic Testing 21(1): 17-31 (2005)
2004
36EESandeep Kumar Goel, Kuoshu Chiu, Erik Jan Marinissen, Toan Nguyen, Steven Oostdijk: Test Infrastructure Design for the Nexperia? Home Platform PNX8550 System Chip. DATE 2004: 108-113
35EEAnuja Sehgal, Sandeep Kumar Goel, Erik Jan Marinissen, Krishnendu Chakrabarty: IEEE P1500-Compliant Test Wrapper Design for Hierarchical Cores. ITC 2004: 1203-1212
34EEErik Jan Marinissen: Security vs. Test Quality: Can We Really Only Have One at a Time? ITC 2004: 1411
33EEBart Vermeulen, Camelia Hora, Bram Kruseman, Erik Jan Marinissen, Robert Van Rijsinge: Trends in Testing Integrated Circuits. ITC 2004: 688-697
2003
32EEErik Jan Marinissen, Bart Vermeulen, Robert Madge, Michael Kessler, Michael Müller: Creating Value Through Test. DATE 2003: 10402-10409
31EESandeep Kumar Goel, Erik Jan Marinissen: Layout-Driven SOC Test Architecture Design for Test Time and Wire Length Minimization. DATE 2003: 10738-10741
30EEHenk D. L. Hollmann, Erik Jan Marinissen, Bart Vermeulen: Optimal Interconnect ATPG Under a Ground-Bounce Constraint. ITC 2003: 369-378
29EESandeep Kumar Goel, Erik Jan Marinissen: SOC test architecture design for efficient utilization of test bandwidth. ACM Trans. Design Autom. Electr. Syst. 8(4): 399-429 (2003)
28EEErik Jan Marinissen, Bart Vermeulen, Henk D. L. Hollmann, Ben Bennetts: Minimizing Pattern Count for Interconnect Test under a Ground Bounce Constraint. IEEE Design & Test of Computers 20(2): 8-18 (2003)
27EEVikram Iyengar, Krishnendu Chakrabarty, Erik Jan Marinissen: Test Access Mechanism Optimization, Test Scheduling, and Tester Data Volume Reduction for System-on-Chip. IEEE Trans. Computers 52(12): 1619-1632 (2003)
26EEVikram Iyengar, Krishnendu Chakrabarty, Erik Jan Marinissen: Efficient test access mechanism optimization for system-on-chip. IEEE Trans. on CAD of Integrated Circuits and Systems 22(5): 635-643 (2003)
25EESandeep Kumar Goel, Erik Jan Marinissen: A Test Time Reduction Algorithm for Test Architecture Design for Core-Based System Chips. J. Electronic Testing 19(4): 425-435 (2003)
2002
24EEVikram Iyengar, Krishnendu Chakrabarty, Erik Jan Marinissen: Recent Advances in Test Planning for Modular Testing of Core-Based SOCs. Asian Test Symposium 2002: 320-
23EEVikram Iyengar, Krishnendu Chakrabarty, Erik Jan Marinissen: Wrapper/TAM co-optimization, constraint-driven test scheduling, and tester data volume reduction for SOCs. DAC 2002: 685-690
22EEVikram Iyengar, Krishnendu Chakrabarty, Erik Jan Marinissen: Efficient Wrapper/TAM Co-Optimization for Large SOCs. DATE 2002: 491-498
21EEVikram Iyengar, Sandeep Kumar Goel, Erik Jan Marinissen, Krishnendu Chakrabarty: Test Resource Optimization for Multi-Site Testing of SOCs Under ATE Memory Depth Constraints. ITC 2002: 1159-1168
20EEErik Jan Marinissen, Vikram Iyengar, Krishnendu Chakrabarty: A Set of Benchmarks fo Modular Testing of SOCs. ITC 2002: 519-528
19EESandeep Kumar Goel, Erik Jan Marinissen: Effective and Efficient Test Architecture Design for SOCs. ITC 2002: 529-538
18EEVikram Iyengar, Krishnendu Chakrabarty, Erik Jan Marinissen: On Using Rectangle Packing for SOC Wrapper/TAM Co-Optimization. VTS 2002: 253-258
17EESandeep Kumar Goel, Erik Jan Marinissen: Cluster-Based Test Architecture Design for System-on-Chip. VTS 2002: 259-264
16 Krishnendu Chakrabarty, Erik Jan Marinissen: How Useful are the ITC 02 SoC Test Benchmarks? IEEE Design & Test of Computers 19(5): 120, 119 (2002)
15EEVikram Iyengar, Krishnendu Chakrabarty, Erik Jan Marinissen: Test Wrapper and Test Access Mechanism Co-Optimization for System-on-Chip. J. Electronic Testing 18(2): 213-230 (2002)
14EEErik Jan Marinissen, Rohit Kapur, Maurice Lousberg, Teresa L. McLaurin, Mike Ricchetti, Yervant Zorian: On IEEE P1500's Standard for Embedded Core Test. J. Electronic Testing 18(4-5): 365-383 (2002)
13EEErik Jan Marinissen: The Role of Test Protocols in Automated Test Generation for Embedded-Core-Based System ICs. J. Electronic Testing 18(4-5): 435-454 (2002)
2001
12 Vikram Iyengar, Krishnendu Chakrabarty, Erik Jan Marinissen: Test wrapper and test access mechanism co-optimization for system-on-chip. ITC 2001: 1023-1032
11 Erik Jan Marinissen: An Industrial Approach to Core-Based System Chip Testing. VLSI-SOC 2001: 389-400
10EEGundolf Kiefer, Harald P. E. Vranken, Erik Jan Marinissen, Hans-Joachim Wunderlich: Application of Deterministic Logic BIST on Industrial Circuits. J. Electronic Testing 17(3-4): 351-362 (2001)
2000
9EEYervant Zorian, Erik Jan Marinissen: System chip test: how will it impact your design? DAC 2000: 136-141
8 Gundolf Kiefer, Hans-Joachim Wunderlich, Harald P. E. Vranken, Erik Jan Marinissen: Application of deterministic logic BIST on industrial circuits. ITC 2000: 105-114
7 Yervant Zorian, Erik Jan Marinissen, Rohit Kapur: On using IEEE P1500 SECT for test plug-n-play. ITC 2000: 770-777
6 Yervant Zorian, Erik Jan Marinissen, Maurice Lousberg, Sandeep Kumar Goel: Wrapper design for embedded core test. ITC 2000: 911-920
1999
5 Yervant Zorian, Erik Jan Marinissen, Rohit Kapur, Tony Taylor, Lee Whetsel: Towards a standard for embedded core test: an example. ITC 1999: 616-627
4 Yervant Zorian, Erik Jan Marinissen, Sujit Dey: Testing Embedded-Core-Based System Chips. IEEE Computer 32(6): 52-60 (1999)
1998
3EEYervant Zorian, Erik Jan Marinissen, Sujit Dey: Testing embedded-core based system chips. ITC 1998: 130-
2EEErik Jan Marinissen, Robert G. J. Arendsen, Gerard Bos, Hans Dingemanse, Maurice Lousberg, Clemens Wouters: A structured and scalable mechanism for test access to embedded reusable cores. ITC 1998: 284-293
1EEJoep Aerts, Erik Jan Marinissen: Scan chain design for test time reduction in core-based ICs. ITC 1998: 448-457

Coauthor Index

1Joep Aerts [1]
2Bashir M. Al-Hashimi [41]
3Michel Altheimer [47]
4Alexandre M. Amory [42]
5Robert G. J. Arendsen [2]
6Mohamed Azimane [46]
7Ben Bennetts (R. G. Bennetts) [28]
8Gerard Bos [2]
9Krishnendu Chakrabarty [12] [15] [16] [18] [20] [21] [22] [23] [24] [26] [27] [35] [43] [49]
10Kuoshu Chiu [36]
11Sujit Dey [3] [4]
12Hans Dingemanse [2]
13Tobias Dubois [46]
14Sandeep Kumar Goel [6] [17] [19] [21] [25] [29] [31] [35] [36] [39] [43] [45] [49]
15Kees G. W. Goossens (Kees Goossens) [42]
16Henk D. L. Hollmann [28] [30] [37]
17Camelia Hora [33]
18Vikram Iyengar [12] [15] [18] [20] [21] [22] [23] [24] [26] [27]
19Axel Jantsch [44]
20Rohit Kapur [5] [7] [14]
21Doris Keitel-Schulz [38]
22Michael Kessler [32]
23Gundolf Kiefer [8] [10]
24Bram Kruseman [33]
25Hana Kubatova [41]
26Erik Larsson [46]
27Maurice Lousberg [2] [6] [14] [40]
28Marcelo Lubaszewski [42]
29Robert Madge [32]
30Teresa L. McLaurin [14]
31Fernando Gehm Moraes (Fernando Moraes) [42]
32Michael Müller [32]
33Toan Nguyen [36]
34Nicola Nicolici [44]
35Ondrej Novák [41]
36Steven Oostdijk [36]
37Betty Prince [38]
38C. P. Ravikumar [41]
39Mike Ricchetti [14]
40Robert Van Rijsinge [33]
41Anuja Sehgal [35] [43] [49]
42Ozgur Sinanoglu [48]
43Mitra Subhasish [41]
44Tony Taylor [5]
45Bart Vermeulen [28] [30] [32] [33] [37]
46Harald P. E. Vranken [8] [10]
47Tom Waayers [40]
48Lee Whetsel [5]
49Paul Wielage [46] [47]
50Clemens Wouters [2] [46] [47]
51Hans-Joachim Wunderlich [8] [10]
52Yervant Zorian [3] [4] [5] [6] [7] [9] [14] [38]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)