dblp.uni-trier.dewww.uni-trier.de

Laura Pozzi

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo
Home Page

2009
39EEFrancesco Regazzoni, Thomas Eisenbarth, Axel Poschmann, Johann Großschädl, Frank K. Gürkaynak, Marco Macchetti, Zeynep Toprak Deniz, Laura Pozzi, Christof Paar, Yusuf Leblebici, Paolo Ienne: Evaluating Resistance of MCML Technology to Power Analysis Attacks Using a Simulation-Based Methodology. Transactions on Computational Science 4: 230-243 (2009)
2008
38EEPaolo Bonzini, Giovanni Ansaloni, Laura Pozzi: Compiling custom instructions onto expression-grained reconfigurable architectures. CASES 2008: 51-60
37EEGiovanni Ansaloni, Paolo Bonzini, Laura Pozzi: Design and Architectural Exploration of Expression-Grained Reconfigurable Arrays. SASP 2008: 26-33
36EEPaolo Bonzini, Laura Pozzi: Recurrence-Aware Instruction Set Selection for Extensible Embedded Processors. IEEE Trans. VLSI Syst. 16(10): 1259-1267 (2008)
2007
35EEPaolo Bonzini, Laura Pozzi: A Retargetable Framework for Automated Discovery of Custom Instructions. ASAP 2007: 334-341
34EELaura Pozzi, Pierre G. Paulin: A future of customizable processors: are we there yet? DATE 2007: 1224-1225
33EEPaolo Bonzini, Laura Pozzi: Polynomial-time subgraph enumeration for automated instruction set extension. DATE 2007: 1331-1336
32EEFrancesco Regazzoni, Stéphane Badel, Thomas Eisenbarth, Johann Großschädl, Axel Poschmann, Zeynep Toprak Deniz, Marco Macchetti, Laura Pozzi, Christof Paar, Yusuf Leblebici, Paolo Ienne: A Simulation-Based Methodology for Evaluating the DPA-Resistance of Cryptographic Functional Units with Application to CMOS and MCML Technologies. ICSAMOS 2007: 209-214
31EEPaolo Bonzini, Dilek Harmanci, Laura Pozzi: A Study of Energy Saving in Customizable Processors. SAMOS 2007: 304-312
30EEPartha Biswas, Sudarshan Banerjee, Nikil Dutt, Laura Pozzi, Paolo Ienne: ISEGEN: Generation of High-Quality Instruction Set Extensions by Iterative Improvement CoRR abs/0710.4820: (2007)
29EEPartha Biswas, Nikil D. Dutt, Laura Pozzi, Paolo Ienne: Introduction of Architecturally Visible Storage in Instruction Set Extensions. IEEE Trans. on CAD of Integrated Circuits and Systems 26(3): 435-446 (2007)
2006
28EEPaolo Bonzini, Laura Pozzi: Code transformation strategies for extensible embedded processors. CASES 2006: 242-252
27EEPartha Biswas, Nikil D. Dutt, Paolo Ienne, Laura Pozzi: Automatic identification of application-specific functional units with architecturally visible storage. DATE 2006: 212-217
26EEJohann Großschädl, Paolo Ienne, Laura Pozzi, Stefan Tillich, Ajay K. Verma: Combining algorithm exploration with instruction set design: a case study in elliptic curve cryptography. DATE 2006: 218-223
25EEPartha Biswas, Sudarshan Banerjee, Nikil D. Dutt, Paolo Ienne, Laura Pozzi: Performance and Energy Benefits of Instruction Set Extensions in an FPGA Soft Core. VLSI Design 2006: 651-656
24EEPartha Biswas, Sudarshan Banerjee, Nikil D. Dutt, Laura Pozzi, Paolo Ienne: ISEGEN: an iterative improvement-based ISE generation technique for fast customization of processors. IEEE Trans. VLSI Syst. 14(7): 754-762 (2006)
23EEMiljan Vuletic, Laura Pozzi, Paolo Ienne: Virtual memory window for application-specific reconfigurable coprocessors. IEEE Trans. VLSI Syst. 14(8): 910-915 (2006)
22EELaura Pozzi, Kubilay Atasu, Paolo Ienne: Exact and approximate algorithms for the extension of embedded processor instruction sets. IEEE Trans. on CAD of Integrated Circuits and Systems 25(7): 1209-1229 (2006)
21EEAndré DeHon, Yury Markovskiy, Eylon Caspi, Michael Chu, Randy Huang, Stylianos Perissakis, Laura Pozzi, Joseph Yeh, John Wawrzynek: Stream computations organized for reconfigurable execution. Microprocessors and Microsystems 30(6): 334-354 (2006)
2005
20EELaura Pozzi, Paolo Ienne: Exploiting pipelining to relax register-file port constraints of instruction-set extensions. CASES 2005: 2-10
19EEMiljan Vuletic, Christophe Dubach, Laura Pozzi, Paolo Ienne: Enabling unrestricted automated synthesis of portable hardware accelerators for virtual machines. CODES+ISSS 2005: 243-248
18EEPartha Biswas, Sudarshan Banerjee, Nikil D. Dutt, Laura Pozzi, Paolo Ienne: ISEGEN: Generation of High-Quality Instruction Set Extensions by Iterative Improvement. DATE 2005: 1246-1251
17EEMiljan Vuletic, Laura Pozzi, Paolo Ienne: Seamless Hardware-Software Integration in Reconfigurable Computing Systems. IEEE Design & Test of Computers 22(2): 102-113 (2005)
2004
16EEMiljan Vuletic, Laura Pozzi, Paolo Ienne: Programming Transparency and Portable Hardware Interfacing: Towards General-Purpose Reconfigurable Computing. ASAP 2004: 339-351
15EEPartha Biswas, Vinay Choudhary, Kubilay Atasu, Laura Pozzi, Paolo Ienne, Nikil Dutt: Introduction of local memory elements in instruction set extensions. DAC 2004: 729-734
14EEMiljan Vuletic, Laura Pozzi, Paolo Ienne: Virtual memory window for application-specific reconfigurable coprocessors. DAC 2004: 948-953
13EEMiljan Vuletic, Ludovic Righetti, Laura Pozzi, Paolo Ienne: Operating System Support for Interface Virtualisation of Reconfigurable Coprocessors. DATE 2004: 748
12EEMiljan Vuletic, Laura Pozzi, Paolo Ienne: Virtual Memory Window for a Portable Reconfigurable Cryptography Coprocessor. FCCM 2004: 24-33
11EEMiljan Vuletic, Laura Pozzi, Paolo Ienne: Dynamic Prefetching in the Virtual Memory Window of Portable Reconfigurable Coprocessors. FPL 2004: 596-605
10EEDiviya Jain, Anshul Kumar, Laura Pozzi, Paolo Ienne: Automatically Customising VLIW Architectures with Coarse Grained Application-Specific Functional Units. SCOPES 2004: 17-32
2003
9EEArmita Peymandoust, Laura Pozzi, Paolo Ienne, Giovanni De Micheli: Automatic Instruction Set Extension and Utilization for Embedded Processors. ASAP 2003: 108-
8EEKubilay Atasu, Laura Pozzi, Paolo Ienne: Automatic application-specific instruction-set extensions under microarchitectural constraints. DAC 2003: 256-261
7EEKubilay Atasu, Laura Pozzi, Paolo Ienne: Automatic Application-Specific Instruction-Set Extensions Under Microarchitectural Constraints. International Journal of Parallel Programming 31(6): 411-428 (2003)
2002
6EELaura Pozzi, Miljan Vuletic, Paolo Ienne: Automatic Topology-Based Identification of Instruction-Set Extensions for Embedded Processors. DATE 2002: 1138
2001
5EECesare Alippi, William Fornaciari, Laura Pozzi, Mariagiovanna Sami: Determining the Optimum Extended Instruction-Set Architecture for Application Specific Reconfigurable VLIW CPUs. IEEE International Workshop on Rapid System Prototyping 2001: 50-57
2000
4EECesare Alippi, William Fornaciari, Laura Pozzi, Mariagiovanna Sami: Determining the optimum extended instruction-set architecture for application specific reconfigurable VLIW CPUs (poster abstract). FPGA 2000: 218
1999
3EECesare Alippi, William Fornaciari, Laura Pozzi, Mariagiovanna Sami: A DAG-Based Design Approach for Reconfigurable VLIW Processors. DATE 1999: 778-779
1998
2EEFranco Fummi, A. Marshall, Laura Pozzi, Mariagiovanna Sami: Minimizing the Application Time for Manufacturer Testing of FPGA (Abstract). FPGA 1998: 258
1997
1EEFabrizio Ferrandi, Franco Fummi, Laura Pozzi, Mariagiovanna Sami: Configuration-Specific Test Pattern Extraction for Field Programmable Gate Arrays. DFT 1997: 85-93

Coauthor Index

1Cesare Alippi [3] [4] [5]
2Giovanni Ansaloni [37] [38]
3Kubilay Atasu [7] [8] [15] [22]
4Stéphane Badel [32]
5Sudarshan Banerjee [18] [24] [25] [30]
6Partha Biswas [15] [18] [24] [25] [27] [29] [30]
7Paolo Bonzini [28] [31] [33] [35] [36] [37] [38]
8Eylon Caspi [21]
9Vinay Choudhary [15]
10Michael Chu [21]
11André DeHon [21]
12Zeynep Toprak Deniz [32] [39]
13Christophe Dubach [19]
14Nikil D. Dutt (Nikil Dutt) [15] [18] [24] [25] [27] [29] [30]
15Thomas Eisenbarth [32] [39]
16Fabrizio Ferrandi [1]
17William Fornaciari [3] [4] [5]
18Franco Fummi [1] [2]
19Johann Großschädl [26] [32] [39]
20Frank K. Gürkaynak [39]
21Dilek Harmanci [31]
22Randy Huang [21]
23Paolo Ienne [6] [7] [8] [9] [10] [11] [12] [13] [14] [15] [16] [17] [18] [19] [20] [22] [23] [24] [25] [26] [27] [29] [30] [32] [39]
24Diviya Jain [10]
25Anshul Kumar [10]
26Yusuf Leblebici [32] [39]
27Marco Macchetti [32] [39]
28Yury Markovskiy [21]
29A. Marshall [2]
30Giovanni De Micheli [9]
31Christof Paar [32] [39]
32Pierre G. Paulin [34]
33Stylianos Perissakis [21]
34Armita Peymandoust [9]
35Axel Poschmann [32] [39]
36Francesco Regazzoni [32] [39]
37Ludovic Righetti [13]
38Mariagiovanna Sami [1] [2] [3] [4] [5]
39Stefan Tillich [26]
40Ajay K. Verma [26]
41Miljan Vuletic [6] [11] [12] [13] [14] [16] [17] [19] [23]
42John Wawrzynek [21]
43Joseph Yeh [21]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)