dblp.uni-trier.dewww.uni-trier.de

Bashir M. Al-Hashimi

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2009
88EES. Saqib Khursheed, Bashir M. Al-Hashimi, Sudhakar M. Reddy, Peter Harrod: Diagnosis of Multiple-Voltage Design With Bridge Defect. IEEE Trans. on CAD of Integrated Circuits and Systems 28(3): 406-416 (2009)
2008
87EEAiman H. El-Maleh, Bashir M. Al-Hashimi, Aissa Melouki: Transistor-level based defect tolerance for reliable nanoelectronics. AICCSA 2008: 53-60
86EESimon Ogg, Bashir M. Al-Hashimi, Alexandre Yakovlev: Asynchronous transient resilient links for NoC. CODES+ISSS 2008: 209-214
85EESimon Ogg, Enrico Valli, Bashir M. Al-Hashimi, Alexandre Yakovlev, Crescenzo D'Alessandro, Luca Benini: Serialized Asynchronous Links for NoC. DATE 2008: 1003-1008
84EETom J. Kazmierski, Dafeng Zhou, Bashir M. Al-Hashimi: Efficient circuit-level modelling of ballistic CNT using piecewise non-linear approximation of mobile charge density. DATE 2008: 146-151
83EELeran Wang, Tom J. Kazmierski, Bashir M. Al-Hashimi, Stephen P. Beeby, Russel N. Torah: Integrated approach to energy harvester mixed technology modelling and performance optimisation. DATE 2008: 704-709
82EERishad A. Shafik, Paul M. Rosinger, Bashir M. Al-Hashimi: MPEG-based Performance Comparison between Network-on-Chip and AMBA MPSoC. DDECS 2008: 98-103
81EEDafeng Zhou, Tom J. Kazmierski, Bashir M. Al-Hashimi: VHDL-AMS Implementation of a Numerical Ballistic CNT Model for Logic Circuit Simulation. FDL 2008: 94-98
80EEAlireza Ejlali, Bashir M. Al-Hashimi: SEU-Hardened Energy Recovery Pipelined Interconnects for On-Chip Networks. NOCS 2008: 67-76
79EEBiswajit Mishra, Bashir M. Al-Hashimi: Subthreshold FIR Filter Architecture for Ultra Low Power Applications. PATMOS 2008: 1-10
78EES. Saqib Khursheed, Urban Ingelsson, Paul M. Rosinger, Bashir M. Al-Hashimi, Peter Harrod: Bridging Fault Test Method With Adaptive Power Management Awareness. IEEE Trans. on CAD of Integrated Circuits and Systems 27(6): 1117-1127 (2008)
77EEZhiyuan He, Zebo Peng, Petru Eles, Paul M. Rosinger, Bashir M. Al-Hashimi: Thermal-Aware SoC Test Scheduling with Test Set Partitioning and Interleaving. J. Electronic Testing 24(1-3): 247-257 (2008)
2007
76EEM. A. Ochoa-Montiel, Bashir M. Al-Hashimi, P. Kollig: Exploiting Power-Area Tradeoffs in Behavioural Synthesis through clock and operations throughput selection. ASP-DAC 2007: 517-522
75EEAlireza Ejlali, Bashir M. Al-Hashimi, Paul M. Rosinger, Seyed Ghassem Miremadi: Joint consideration of fault-tolerance, energy-efficiency and performance in on-chip networks. DATE 2007: 1647-1652
74 Luigi Dilillo, Bashir M. Al-Hashimi: March CRF: an Efficient Test for Complex Read Faults in SRAM Memories. DDECS 2007: 173-178
73EEHaider Ali, Bashir M. Al-Hashimi: Architecture Level Power-Performance Tradeoffs for Pipelined Designs. ISCAS 2007: 1791-1794
72EEYuan Cai, Sudhakar M. Reddy, Bashir M. Al-Hashimi: Reducing the Energy Consumption in Fault-Tolerant Distributed Embedded Systems with Time-Constraint. ISQED 2007: 368-373
71EESimon Ogg, Enrico Valli, Crescenzo D'Alessandro, Alexandre Yakovlev, Bashir M. Al-Hashimi, Luca Benini: Reducing Interconnect Cost in NoC through Serialized Asynchronous Links. NOCS 2007: 219
70EEYuan Cai, Marcus T. Schmitz, Bashir M. Al-Hashimi, Sudhakar M. Reddy: Workload-ahead-driven online energy minimization techniques for battery-powered embedded systems with time-constraints. ACM Trans. Design Autom. Electr. Syst. 12(1): (2007)
69EEPaul M. Rosinger, Bashir M. Al-Hashimi, Krishnendu Chakrabarty: Rapid Generation of Thermal-Safe Test Schedules CoRR abs/0710.4797: (2007)
68EEAlexandru Andrei, Petru Eles, Zebo Peng, Marcus T. Schmitz, Bashir M. Al-Hashimi: Energy Optimization of Multiprocessor Systems on Chip by Voltage Selection. IEEE Trans. VLSI Syst. 15(3): 262-275 (2007)
2006
67EEDong Wu, Bashir M. Al-Hashimi, Marcus T. Schmitz: Improving routing efficiency for network-on-chip through contention-aware input selection. ASP-DAC 2006: 36-41
66EEYuan Cai, Marcus T. Schmitz, Alireza Ejlali, Bashir M. Al-Hashimi, Sudhakar M. Reddy: Cache size selection for performance, energy and reliability of time-constrained systems. ASP-DAC 2006: 923-928
65EELuigi Dilillo, Paul M. Rosinger, Bashir M. Al-Hashimi, Patrick Girard: Minimizing test power in SRAM through reduction of pre-charge activity. DATE 2006: 1159-1164
64EEZhiyuan He, Zebo Peng, Petru Eles, Paul M. Rosinger, Bashir M. Al-Hashimi: Thermal-Aware SoC Test Scheduling with Test Set Partitioning and Interleaving. DFT 2006: 477-485
63EEMatthew Collins, Bashir M. Al-Hashimi: On-Chip Time Measurement Architecture with Femtosecond Timing Resolution. European Test Symposium 2006: 103-110
62EENoohul Basheer Zain Ali, Mark Zwolinski, Bashir M. Al-Hashimi, Peter Harrod: Dynamic Voltage Scaling Aware Delay Fault Testing. European Test Symposium 2006: 15-20
61EEZhuo Zhang, Sudhakar M. Reddy, Irith Pomeranz, Janusz Rajski, Bashir M. Al-Hashimi: Enhancing Delay Fault Coverage through Low Power Segmented Scan. European Test Symposium 2006: 21-28
60EESimon Ogg, Bashir M. Al-Hashimi: Improved Data Compression for Serial Interconnected Network on Chip through Unused Significant Bit Removal. VLSI Design 2006: 525-529
59EEMauricio Varea, Bashir M. Al-Hashimi, Luis Alejandro Cortés, Petru Eles, Zebo Peng: Dual Flow Nets: Modeling the control/data-flow relation in embedded systems. ACM Trans. Embedded Comput. Syst. 5(1): 54-81 (2006)
58EEMitra Subhasish, Ondrej Novák, Hana Kubatova, Bashir M. Al-Hashimi, Erik Jan Marinissen, C. P. Ravikumar: Conference Reports. IEEE Design & Test of Computers 23(4): 262-265 (2006)
57EEAlireza Ejlali, Bashir M. Al-Hashimi, Marcus T. Schmitz, Paul M. Rosinger, Seyed Ghassem Miremadi: Combined time and information redundancy for SEU-tolerance in energy-efficient real-time systems. IEEE Trans. VLSI Syst. 14(4): 323-335 (2006)
56EEPaul M. Rosinger, Bashir M. Al-Hashimi, Krishnendu Chakrabarty: Thermal-Safe Test Scheduling for Core-Based System-on-Chip Integrated Circuits. IEEE Trans. on CAD of Integrated Circuits and Systems 25(11): 2502-2512 (2006)
55EEBashir M. Al-Hashimi, Dimitris Gizopoulos, Manoj Sachdev, Adit D. Singh: New JETTA Editors, 2006. J. Electronic Testing 22(1): 9-10 (2006)
54EELuigi Dilillo, Paul M. Rosinger, Bashir M. Al-Hashimi, Patrick Girard: Reducing Power Dissipation in SRAM during Test. J. Low Power Electronics 2(2): 271-280 (2006)
2005
53EEAlexandru Andrei, Marcus T. Schmitz, Petru Eles, Zebo Peng, Bashir M. Al-Hashimi: Quasi-Static Voltage Scaling for Energy Minimization with Time Constraints. DATE 2005: 514-519
52EEPaul M. Rosinger, Bashir M. Al-Hashimi, Krishnendu Chakrabarty: Rapid Generation of Thermal-Safe Test Schedules. DATE 2005: 840-845
51EEEnkelejda Tafaj, Paul M. Rosinger, Bashir M. Al-Hashimi, Krishnendu Chakrabarty: Improving Thermal-Safe Test Scheduling for Core-Based Systems-on-Chip Using Shift Frequency Scaling. DFT 2005: 544-551
50EEDong Wu, Bashir M. Al-Hashimi, Marcus T. Schmitz, Petru Eles: Power-Composition Profile Driven Co-Synthesis with Power Management Selection for Dynamic and Leakage Energy Reduction. DSD 2005: 34-41
49EEYuan Cai, Sudhakar M. Reddy, Irith Pomeranz, Bashir M. Al-Hashimi: Battery-aware dynamic voltage scaling in multiprocessor embedded system. ISCAS (1) 2005: 616-619
48EEPeter Wilson, Reuben Wilcock, Bashir M. Al-Hashimi: A novel switched-current phase locked loop. ISCAS (3) 2005: 2815-2818
47EEM. A. Ochoa-Montiel, Bashir M. Al-Hashimi, P. Kollig: Impact of multicycled scheduling on power-area tradeoffs in behavioural synthesis. ISCAS (4) 2005: 4163-4166
46EEMehdi Jafaripanah, Bashir M. Al-Hashimi, Neil M. White: Adaptive sensor response correction using analog filter compatible with digital technology [load cell sensor applications]. ISCAS (6) 2005: 5389-5392
45EEAlireza Ejlali, Marcus T. Schmitz, Bashir M. Al-Hashimi, Seyed Ghassem Miremadi, Paul M. Rosinger: Energy efficient SEU-tolerance in DVS-enabled real-time systems through information redundancy. ISLPED 2005: 281-286
44EEPaul Theo Gonciari, Bashir M. Al-Hashimi, Nicola Nicolici: Synchronization overhead in SOC compressed test. IEEE Trans. VLSI Syst. 13(1): 140-152 (2005)
43EEMarcus T. Schmitz, Bashir M. Al-Hashimi, Petru Eles: Cosynthesis of energy-efficient multimode embedded systems with consideration of mode-execution probabilities. IEEE Trans. on CAD of Integrated Circuits and Systems 24(2): 153-169 (2005)
2004
42EEMatheos Lampropoulos, Bashir M. Al-Hashimi, Paul M. Rosinger: Minimization of Crosstalk Noise, Delay and Power Using a Modified Bus Invert Technique. DATE 2004: 1372-1373
41EEAlexandru Andrei, Marcus T. Schmitz, Petru Eles, Zebo Peng, Bashir M. Al-Hashimi: Overhead-Conscious Voltage Selection for Dynamic and Leakage Energy Reduction of Time-Constrained Systems. DATE 2004: 518-525
40EEAlexandru Andrei, Marcus T. Schmitz, Petru Eles, Zebo Peng, Bashir M. Al-Hashimi: Simultaneous communication and processor voltage scaling for dynamic and leakage energy reduction in time-constrained systems. ICCAD 2004: 362-369
39 Reuben Wilcock, Bashir M. Al-Hashimi: Power-conscious design methodology for class-A switched-current wave filters. ISCAS (1) 2004: 225-228
38EEYan Xie, Bashir M. Al-Hashimi: Analogue adaptive filters using wave synthesis technique. ISCAS (1) 2004: 849-852
37EEGeoff Merrett, Bashir M. Al-Hashimi: Leakage Power Analysis and Comparison of Deep Submicron Logic Gates. PATMOS 2004: 198-207
36EEMarcus T. Schmitz, Bashir M. Al-Hashimi, Petru Eles: Iterative schedule optimization for voltage scalable distributed embedded systems. ACM Trans. Embedded Comput. Syst. 3(1): 182-217 (2004)
35EEPaul M. Rosinger, Bashir M. Al-Hashimi, Nicola Nicolici: Scan architecture with mutually exclusive scan segment activation for shift- and capture-power reduction. IEEE Trans. on CAD of Integrated Circuits and Systems 23(7): 1142-1153 (2004)
34EENicola Nicolici, Bashir M. Al-Hashimi: Testability Trade-Offs for BIST Data Paths. J. Electronic Testing 20(2): 169-179 (2004)
2003
33EEDong Wu, Bashir M. Al-Hashimi, Petru Eles: Scheduling and Mapping of Conditional Task Graphs for the Synthesis of Low Power Embedded Systems. DATE 2003: 10090-10095
32EEPetros Oikonomakos, Mark Zwolinski, Bashir M. Al-Hashimi: Versatile High-Level Synthesis of Self-Checking Datapaths Using an On-Line Testability Metric. DATE 2003: 10596-10601
31EEPaul Theo Gonciari, Bashir M. Al-Hashimi, Nicola Nicolici: Test Data Compression: The System Integrator's Perspective. DATE 2003: 10726-10731
30EEMarcus T. Schmitz, Bashir M. Al-Hashimi, Petru Eles: A Co-Design Methodology for Energy-Efficient Multi-Mode Embedded Systems with Consideration of Mode Execution Probabilities. DATE 2003: 10960-10965
29EEChun-Ming Chang, Bashir M. Al-Hashimi: Analytical synthesis of voltage mode OTA-C all-pass filters for high frequency operation. ISCAS (1) 2003: 461-464
28EEMehdi Jafaripanah, Bashir M. Al-Hashimi, Neil M. White: Load cell response correction using analog adaptive techniques. ISCAS (4) 2003: 752-755
27EENicola Nicolici, Bashir M. Al-Hashimi: Power-Conscious Test Synthesis and Scheduling. IEEE Design & Test of Computers 20(4): 48-55 (2003)
26EEPaul Theo Gonciari, Bashir M. Al-Hashimi, Nicola Nicolici: Addressing useless test data in core-based system-on-a-chip test. IEEE Trans. on CAD of Integrated Circuits and Systems 22(11): 1568-1580 (2003)
25EEPaul Theo Gonciari, Bashir M. Al-Hashimi, Nicola Nicolici: Variable-length input Huffman coding for system-on-a-chip test. IEEE Trans. on CAD of Integrated Circuits and Systems 22(6): 783-796 (2003)
2002
24EEMauricio Varea, Bashir M. Al-Hashimi, Luis Alejandro Cortés, Petru Eles, Zebo Peng: Symbolic model checking of Dual Transition Petri Nets. CODES 2002: 43-48
23EEMarcus T. Schmitz, Bashir M. Al-Hashimi, Petru Eles: Energy-Efficient Mapping and Scheduling for DVS Enabled Distributed Embedded Systems. DATE 2002: 514-521
22EEPaul Theo Gonciari, Bashir M. Al-Hashimi, Nicola Nicolici: Improving Compression Ratio, Area Overhead, and Test Application Time for System-on-a-Chip Test Data Compression/Decompression. DATE 2002: 604-611
21EEPaul M. Rosinger, Bashir M. Al-Hashimi, Nicola Nicolici: Scan Architecture for Shift and Capture Cycle Power Reduction. DFT 2002: 129-137
20EEPaul M. Rosinger, Bashir M. Al-Hashimi, Nicola Nicolici: Low Power Mixed-Mode BIST Based on Mask Pattern Generation Using Dual LFSR Re-Seeding. ICCD 2002: 474-479
19EEReuben Wilcock, Bashir M. Al-Hashimi: Application of group delay equalisation in testing fully-balanced OTA-C filters. ISCAS (4) 2002: 643-646
18EEPaul Theo Gonciari, Bashir M. Al-Hashimi, Nicola Nicolici: Integrated Test Data Decompression and Core Wrapper Design for Low-Cost System-on-a-Chip Testing. ITC 2002: 64-73
17EEPaul Theo Gonciari, Bashir M. Al-Hashimi, Nicola Nicolici: Useless Memory Allocation in System-on-a-Chip Test: Problems and Solutions. VTS 2002: 423-432
16EENicola Nicolici, Bashir M. Al-Hashimi: Multiple Scan Chains for Power Minimization during Test Application in Sequential Circuits. IEEE Trans. Computers 51(6): 721-734 (2002)
15EEPaul M. Rosinger, Bashir M. Al-Hashimi, Nicola Nicolici: Power profile manipulation: a new approach for reducing test application time under power constraints. IEEE Trans. on CAD of Integrated Circuits and Systems 21(10): 1217-1225 (2002)
2001
14EEMauricio Varea, Bashir M. Al-Hashimi: Dual transitions petri net based modelling technique for embedded systems specification. DATE 2001: 566-571
13EENicola Nicolici, Bashir M. Al-Hashimi: Testability trade-offs for BIST RTL data paths: the case for three dimensional design space. DATE 2001: 802
12EEPaul M. Rosinger, Bashir M. Al-Hashimi, Nicola Nicolici: Power constrained test scheduling using power profile manipulation. ISCAS (5) 2001: 251-254
11 Marcus T. Schmitz, Bashir M. Al-Hashimi: Considering power variations of DVS processing elements for energy minimisation in distributed systems. ISSS 2001: 250-255
10 Nicola Nicolici, Bashir M. Al-Hashimi: Tackling test trade-offs for BIST RTL data paths: BIST area overhead, test application time and power dissipation. ITC 2001: 72-81
2000
9EENicola Nicolici, Bashir M. Al-Hashimi: Scan Latch Partitioning into Multiple Scan Chains for Power Minimization in Full Scan Sequential Circuits. DATE 2000: 715-722
8 Nicola Nicolici, Bashir M. Al-Hashimi: Power conscious test synthesis and scheduling for BIST RTL data paths. ITC 2000: 662-671
7EENicola Nicolici, Bashir M. Al-Hashimi, Andrew D. Brown, Alan Christopher Williams: BIST hardware synthesis for RTL data paths based on testcompatibility classes. IEEE Trans. on CAD of Integrated Circuits and Systems 19(11): 1375-1385 (2000)
1999
6EENicola Nicolici, Bashir M. Al-Hashimi: Efficient BIST Hardware Insertion with Low Test Application Time for Synthesized Data Paths. DATE 1999: 289-
5EEP. Kollig, Bashir M. Al-Hashimi: Reduction of Latency and Resource Usage in Bit-Level Pipelined Data Paths for FPGAs. FPGA 1999: 227-234
4EEJ. Living, Bashir M. Al-Hashimi: Mixed arithmetic architecture: a solution to the iteration bound for resource efficient FPGA and CPLD recursive digital filters. ISCAS (1) 1999: 478-481
3EEF. Dudek, Bashir M. Al-Hashimi, M. Moniri: Compensation of nonideal effects in video-frequency sinc(x)-equalizers using tunable gm-C structure. ISCAS (2) 1999: 148-151
2EEJ. Living, Bashir M. Al-Hashimi: New differential coefficient coding algorithm for recursive FIR filters. ISCAS (3) 1999: 379-382
1998
1 Nicola Nicolici, Bashir M. Al-Hashimi: Correction to the Proof of Theorem 2 in ``Parallel Signature Analysis Design with Bounds on Aliasing. IEEE Trans. Computers 47(12): 1426 (1998)

Coauthor Index

1Haider Ali [73]
2Noohul Basheer Zain Ali [62]
3Alexandru Andrei [40] [41] [53] [68]
4Stephen P. Beeby [83]
5Luca Benini [71] [85]
6Andrew D. Brown [7]
7Yuan Cai [49] [66] [70] [72]
8Krishnendu Chakrabarty [51] [52] [56] [69]
9Chun-Ming Chang [29]
10Matthew Collins [63]
11Luis Alejandro Cortés [24] [59]
12Crescenzo D'Alessandro [71] [85]
13Luigi Dilillo [54] [65] [74]
14F. Dudek [3]
15Alireza Ejlali (Ali Reza Ejlali) [45] [57] [66] [75] [80]
16Aiman H. El-Maleh (Aiman El-Maleh) [87]
17Petru Eles [23] [24] [30] [33] [36] [40] [41] [43] [50] [53] [59] [64] [68] [77]
18Patrick Girard [54] [65]
19Dimitris Gizopoulos [55]
20Paul Theo Gonciari [17] [18] [22] [25] [26] [31] [44]
21Peter Harrod [62] [78] [88]
22Zhiyuan He [64] [77]
23Urban Ingelsson [78]
24Mehdi Jafaripanah [28] [46]
25Tom J. Kazmierski [81] [83] [84]
26S. Saqib Khursheed [78] [88]
27P. Kollig [5] [47] [76]
28Hana Kubatova [58]
29Matheos Lampropoulos [42]
30J. Living [2] [4]
31Erik Jan Marinissen [58]
32Aissa Melouki [87]
33Geoff Merrett [37]
34Seyed Ghassem Miremadi [45] [57] [75]
35Biswajit Mishra [79]
36M. Moniri [3]
37Nicola Nicolici [1] [6] [7] [8] [9] [10] [12] [13] [15] [16] [17] [18] [20] [21] [22] [25] [26] [27] [31] [34] [35] [44]
38Ondrej Novák [58]
39M. A. Ochoa-Montiel [47] [76]
40Simon Ogg [60] [71] [85] [86]
41Petros Oikonomakos [32]
42Zebo Peng [24] [40] [41] [53] [59] [64] [68] [77]
43Irith Pomeranz [49] [61]
44Janusz Rajski [61]
45C. P. Ravikumar [58]
46Sudhakar M. Reddy [49] [61] [66] [70] [72] [88]
47Paul M. Rosinger [12] [15] [20] [21] [35] [42] [45] [51] [52] [54] [56] [57] [64] [65] [69] [75] [77] [78] [82]
48Manoj Sachdev [55]
49Marcus T. Schmitz [11] [23] [30] [36] [40] [41] [43] [45] [50] [53] [57] [66] [67] [68] [70]
50Rishad A. Shafik [82]
51Adit D. Singh [55]
52Mitra Subhasish [58]
53Enkelejda Tafaj [51]
54Russel N. Torah [83]
55Enrico Valli [71] [85]
56Mauricio Varea [14] [24] [59]
57Leran Wang [83]
58Neil M. White [28] [46]
59Reuben Wilcock [19] [39] [48]
60Alan Christopher Williams [7]
61Peter Wilson [48]
62Dong Wu [33] [50] [67]
63Yan Xie [38]
64Alexandre Yakovlev [71] [85] [86]
65Zhuo Zhang [61]
66Dafeng Zhou [81] [84]
67Mark Zwolinski [32] [62]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)