dblp.uni-trier.dewww.uni-trier.de

Henk Corporaal

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2009
117EEMathias Funk, Piet van der Putten, Henk Corporaal: Analytics for the internet of things. CHI Extended Abstracts 2009: 4195-4200
116EERob Hoes, Twan Basten, Wai-Leong Yeow, Chen-Khong Tham, Marc Geilen, Henk Corporaal: QoS Management for Wireless Sensor Networks with a Mobile Sink. EWSN 2009: 53-68
115EEMathias Funk, Anne Rozinat, Ana Karla Alves de Medeiros, Piet van der Putten, Henk Corporaal, Wil M. P. van der Aalst: Improving Product Usage Monitoring and Analysis with Semantic Concepts. UNISCON 2009: 190-201
114EEStefan Valentin Gheorghita, Martin Palkovic, Juan Hamers, Arnout Vandecappelle, Stelios Mamagkakis, Twan Basten, Lieven Eeckhout, Henk Corporaal, Francky Catthoor, Frederik Vandeputte, Koen De Bosschere: System-scenario-based design of dynamic embedded systems. ACM Trans. Design Autom. Electr. Syst. 14(1): (2009)
113EEMartin Palkovic, Francky Catthoor, Henk Corporaal: Trade-offs in loop transformations. ACM Trans. Design Autom. Electr. Syst. 14(2): (2009)
112EEAylin Koca, Mathias Funk, Evangelos Karapanos, Anne Rozinat, Wil M. P. van der Aalst, Henk Corporaal, Jean-Bernard Martens, Piet van der Putten, A. J. M. M. Weijters, Aarnout Brombacher: Soft reliability: an interdisciplinary approach with a user-system focus. Quality and Reliability Eng. Int. 25(1): 3-20 (2009)
2008
111EEMathias Funk, Piet van der Putten, Henk Corporaal: Specification for User Modeling with Self-Observing Systems. ACHI 2008: 243-248
110EEMichael Koch, Zoran Zivkovic, Richard P. Kleihorst, Henk Corporaal: Distributed Smart Camera Calibration Using Blinking LED. ACIVS 2008: 242-253
109EEYifan He, Zoran Zivkovic, Richard P. Kleihorst, Alexander Danilin, Henk Corporaal, Bart Mesman: Real-Time Hough Transform on 1-D SIMD Processors: Implementation and Architecture Exploration. ACIVS 2008: 254-265
108EEYu Pu, Jose de Jesus Pineda de Gyvez, Henk Corporaal, Yajun Ha: Statistical noise margin estimation for sub-threshold combinational circuits. ASP-DAC 2008: 176-179
107EEFrank E. B. Ophelders, Samarjit Chakraborty, Henk Corporaal: Intra- and inter-processor hybrid performance modeling for MPSoC architectures. CODES+ISSS 2008: 91-96
106EEMathias Funk, Piet van der Putten, Henk Corporaal: UML Profile for Modeling Product Observation. FDL 2008: 185-190
105EEAhsan Shabbir, Akash Kumar, Bart Mesman, Henk Corporaal: Enabling MPSoC Design Space Exploration on FPGAs. IMTIC 2008: 412-421
104EERaymond Frijns, Hamed Fatemi, Bart Mesman, Henk Corporaal: DC-SIMD : Dynamic communication for SIMD processors. IPDPS 2008: 1-10
103 Mathias Funk, Piet van der Putten, Henk Corporaal: Model Interpretation for Executable Observation Specifications. SEKE 2008: 785-790
102EEAkash Kumar, Shakith Fernando, Yajun Ha, Bart Mesman, Henk Corporaal: Multiprocessor systems synthesis for multiple use-cases of multiple applications on FPGA. ACM Trans. Design Autom. Electr. Syst. 13(3): (2008)
101EEVincent Nollet, Prabhat Avasare, Hendrik Eeckhaut, Diederik Verkest, Henk Corporaal: Run-Time Management of a MPSoC Containing FPGA Fabric Tiles. IEEE Trans. VLSI Syst. 16(1): 24-33 (2008)
100EEAkash Kumar, Bart Mesman, Bart D. Theelen, Henk Corporaal, Yajun Ha: Analyzing composability of applications on MPSoC platforms. Journal of Systems Architecture - Embedded Systems Design 54(3-4): 369-383 (2008)
99EEStefan Valentin Gheorghita, Twan Basten, Henk Corporaal: Scenario Selection and Prediction for DVS-Aware Scheduling of Multimedia Applications. Signal Processing Systems 50(2): 137-161 (2008)
2007
98EEJinfeng Huang, Jeroen Voeten, Marcel A. Groothuis, Jan F. Broenink, Henk Corporaal: A model-driven design approach for mechatronic systems. ACSD 2007: 127-136
97EEThéodore Marescaux, Henk Corporaal: Introducing the SuperGT Network-on-Chip; SuperGT QoS: more than just GT. DAC 2007: 116-121
96EEAkash Kumar, Bart Mesman, Henk Corporaal, Bart D. Theelen, Yajun Ha: A Probabilistic Approach to Model Resource Contention for Performance Estimation of Multi-featured Media Devices. DAC 2007: 726-731
95EESander Stuijk, Twan Basten, Marc Geilen, Henk Corporaal: Multiprocessor Resource Allocation for Throughput-Constrained Synchronous Dataflow Graphs. DAC 2007: 777-782
94EEPraveen Raghavan, Andy Lambrechts, Murali Jayapala, Francky Catthoor, Diederik Verkest, Henk Corporaal: Very wide register: an asymmetric register file organization for low power embedded processors. DATE 2007: 1066-1071
93EEAkash Kumar, Andreas Hansson, Jos Huisken, Henk Corporaal: Interactive presentation: An FPGA design flow for reconfigurable network-based multi-processor systems on chip. DATE 2007: 117-122
92EEAkash Kumar, Shakith Fernando, Yajun Ha, Bart Mesman, Henk Corporaal: Multi-processor System-level Synthesis for Multiple Applications on Platform FPGA. FPL 2007: 92-97
91EEYu Pu, Jose de Jesus Pineda de Gyvez, Henk Corporaal, Yajun Ha: Vt balancing and device sizing towards high yield of sub-threshold static logic gates. ISLPED 2007: 355-358
90EERob Hoes, Twan Basten, Chen-Khong Tham, Marc Geilen, Henk Corporaal: Analysing qos trade-offs in wireless sensor networks. MSWiM 2007: 60-69
89EEThéodore Marescaux, Erik Brockmeyer, Henk Corporaal: The Impact of Higher Communication Layers on NoC Supported MP-SoCs. NOCS 2007: 107-116
88EEJinfeng Huang, Jeroen Voeten, Henk Corporaal: Predictable real-time software synthesis. Real-Time Systems 36(3): 159-198 (2007)
87EEAndrei Terechko, Henk Corporaal: Inter-cluster communication in VLIW architectures. TACO 4(2): (2007)
2006
86EEBart Mesman, Hamed Fatemi, Henk Corporaal, Twan Basten: Dynamic-SIMD for lens distortion compensation. ASAP 2006: 261-264
85EEJinfeng Huang, Marc Geilen, Jeroen Voeten, Henk Corporaal: Branching-Time Property Preservation Between Real-Time Systems. ATVA 2006: 260-275
84EEAkash Kumar, Bart Mesman, Henk Corporaal, Jef L. van Meerbergen, Yajun Ha: Global Analysis of Resource Arbitration for MPSoC. DSD 2006: 71-78
83 Vincent Nollet, Prabhat Avasare, Diederik Verkest, Henk Corporaal: Exploiting Hierarchical Configuration to Improve Run-Time MPSoC Task Assignment. ERSA 2006: 49-55
82EEAkash Kumar, Bart Mesman, Bart D. Theelen, Henk Corporaal, Yajun Ha: Resource Manager for Non-preemptive Heterogeneous Multiprocessor System-on-chip. ESTImedia 2006: 33-38
81EEOana Florescu, Jeroen Voeten, Marcel Verhoef, Henk Corporaal: Reusing Real-Time Systems Design Experience. FDL 2006: 375-381
80EEStefan Valentin Gheorghita, Twan Basten, Henk Corporaal: Profiling Driven Scenarion Detection and Prediction for Multimedia Applications. ICSAMOS 2006: 63-70
79EEChantal Ykman-Couvreur, Vincent Nollet, Théodore Marescaux, Erik Brockmeyer, Francky Catthoor, Henk Corporaal: Pareto-Based Application Specification for MP-SoC Customized Run-Time Management. ICSAMOS 2006: 78-84
78EEWouter Caarls, Pieter P. Jonker, Henk Corporaal: Algorithmic skeletons for stream programming in embedded heterogeneous parallel image processing applications. IPDPS 2006
77EEHamed Fatemi, Bart Mesman, Henk Corporaal, Twan Basten, Pieter P. Jonker: Run-time reconfiguration of communication in SIMD architectures. IPDPS 2006
76EEJinfeng Huang, Jeroen Voeten, Henk Corporaal: Correctness-preserving synthesis for real-time control software. QSIC 2006: 65-73
75EEOana Florescu, Jinfeng Huang, Jeroen Voeten, Henk Corporaal: Strengthening Property Preservation in Concurrent Real-Time Systems. RTCSA 2006: 106-109
74EEOana Florescu, Menno de Hoon, Jeroen Voeten, Henk Corporaal: Probabilistic Modelling and Evaluation of Soft Real-Time Embedded Systems. SAMOS 2006: 206-215
73EEWouter Caarls, Pieter P. Jonker, Henk Corporaal: Skeletons and Asynchronous RPC for Embedded Data and Task Parallel Image Processing. IEICE Transactions 89-D(7): 2036-2043 (2006)
72EEHamed Fatemi, Bart Mesman, Henk Corporaal, Twan Basten, Richard P. Kleihorst: RC-SIMD: Reconfigurable communication SIMD architecture for image processing applications. J. Embedded Computing 2(2): 167-179 (2006)
71EEMartin Palkovic, Erik Brockmeyer, Peter Vanbroekhoven, Henk Corporaal, Francky Catthoor: Systematic Preprocessing of Data Dependent Constructs for Embedded Systems. J. Low Power Electronics 2(1): 9-1 (2006)
2005
70EEHamed Fatemi, Henk Corporaal, Twan Basten, Richard P. Kleihorst, Pieter P. Jonker: Designing Area and Performance Constrained SIMD/VLIW Image Processing Architectures. ACIVS 2005: 689-696
69EEAndy Lambrechts, Praveen Raghavan, Anthony Leroy, Guillermo Talavera, Tom Vander Aa, Murali Jayapala, Francky Catthoor, Diederik Verkest, Geert Deconinck, Henk Corporaal, Frédéric Robert, Jordi Carrabina: Power Breakdown Analysis for a Heterogeneous NoC Platform Running a Video Application. ASAP 2005: 179-184
68EEStefan Valentin Gheorghita, Twan Basten, Henk Corporaal: Intra-task scenario-aware voltage scheduling. CASES 2005: 177-184
67EEStefan Valentin Gheorghita, Sander Stuijk, Twan Basten, Henk Corporaal: Automatic scenario detection for improved WCET estimation. DAC 2005: 101-104
66EEPrabhat Avasare, Vincent Nollet, Jean-Yves Mignolet, Diederik Verkest, Henk Corporaal: Centralized end-to-end flow control in a best-effort network-on-chip. EMSOFT 2005: 17-20
65EETom Vander Aa, Francky Catthoor, Henk Corporaal, Geert Deconinck: Combining Data and Instruction Memory Energy Optimizations for Embedded Applications. ESTImedia 2005: 121-126
64EEThéodore Marescaux, B. Bricke, P. Debacker, Vincent Nollet, Henk Corporaal: Dynamic Time-Slot Allocation for QoS Enabled Networks on Chip. ESTImedia 2005: 47-52
63EEOana Florescu, Jeroen Voeten, Henk Corporaal: Synthesis for Unified Control- and Data-Oriented Models. FDL 2005: 531-543
62EEJari Heikkinen, Andrea G. M. Cilio, Jarmo Takala, Henk Corporaal: Dictionary-based program compression on transport triggered architectures. ISCAS (2) 2005: 1122-1125
61EEWouter Caarls, Pieter P. Jonker, Henk Corporaal: Skeletons and Asynchronous RPC for Embedded Data- and Task Parallel Image Processing. MVA 2005: 384-387
60 Théodore Marescaux, A. Rangevall, Vincent Nollet, Andrei Bartic, Henk Corporaal: Distributed Congestion Control for Packet Switched Networks on Chip. PARCO 2005: 761-768
59EEMartin Palkovic, Erik Brockmeyer, Peter Vanbroekhoven, Henk Corporaal, Francky Catthoor: Systematic Preprocessing of Data Dependent Constructs for Embedded Systems. PATMOS 2005: 89-98
58EEMartin Palkovic, Henk Corporaal, Francky Catthoor: Global Memory Optimisation for Embedded Systems Allowed by Code Duplication. SCOPES 2005: 72-79
57EEAndrei Terechko, Manish Garg, Henk Corporaal: Evaluation of Speed and Area of Clustered VLIW Processors. VLSI Design 2005: 557-563
56EEMurali Jayapala, Francisco Barat, Tom Vander Aa, Francky Catthoor, Henk Corporaal, Geert Deconinck: Clustered Loop Buffer Organization for Low Energy VLIW Embedded Processors. IEEE Trans. Computers 54(6): 672-683 (2005)
55EETom Vander Aa, Murali Jayapala, Francisco Barat, Geert Deconinck, Rudy Lauwereins, Henk Corporaal, Francky Catthoor: Instruction buffering exploration for low energy embedded processors. J. Embedded Computing 1(3): 341-351 (2005)
54EEStefan Valentin Gheorghita, Henk Corporaal, Twan Basten: Iterative compilation for energy reduction. J. Embedded Computing 1(4): 509-520 (2005)
2004
53EETom Vander Aa, Murali Jayapala, Francisco Barat, Geert Deconinck, Rudy Lauwereins, Francky Catthoor, Henk Corporaal: Instruction buffering exploration for low energy VLIWs with instruction clusters. ASP-DAC 2004: 824-829
52EEOana Florescu, Jeroen Voeten, Jinfeng Huang, Henk Corporaal: Error Estimation in Model-Driven Development for Real-Time Software. FDL 2004: 228-240
51 Oana Florescu, Jeroen Voeten, Henk Corporaal: A Unified Model for Analysis of Real-Time Properties. ISoLA (Preliminary proceedings) 2004: 220-226
50EEMurali Jayapala, Tom Vander Aa, Francisco Barat, Francky Catthoor, Henk Corporaal, Geert Deconinck: L0 Cluster Synthesis and Operation Shuffling. PATMOS 2004: 311-321
49EEAndy Lambrechts, Tom Vander Aa, Murali Jayapala, Guillermo Talavera, Anthony Leroy, Adelina Shickova, Francisco Barat, Bingfeng Mei, Francky Catthoor, Diederik Verkest, Geert Deconinck, Henk Corporaal, Frédéric Robert, Jordi Carrabina Bordoll: Design Style Case Study for Embedded Multi Media Compute Nodes. RTSS 2004: 104-113
48EEMurali Jayapala, Tom Vander Aa, Francisco Barat, Geert Deconinck, Francky Catthoor, Henk Corporaal: L0 buffer energy optimization through scheduling and exploration. SAC 2004: 905-906
2003
47EEAndrei Terechko, Erwan Le Thenaff, Henk Corporaal: Cluster assignment of global values for clustered VLIW processors. CASES 2003: 32-40
46EEPaul Marchal, José Ignacio Gómez, Luis Piñuel, Davide Bruni, Luca Benini, Francky Catthoor, Henk Corporaal: SDRAM-Energy-Aware Memory Allocation for Dynamic Multi-Media Applications on Multi-Processor Platforms. DATE 2003: 10516-10523
45EEErik Brockmeyer, Miguel Miranda, Henk Corporaal, Francky Catthoor: Layer Assignment echniques for Low Energy in Multi-Layered Memory Organisations. DATE 2003: 11070-11075
44EEFrancisco Barat, Murali Jayapala, Tom Vander Aa, Rudy Lauwereins, Geert Deconinck, Henk Corporaal: Low Power Coarse-Grained Reconfigurable Instruction Set Processor. FPL 2003: 230-239
43EEAndrei Terechko, Erwan Le Thenaff, Manish Garg, Jos T. J. van Eijndhoven, Henk Corporaal: Inter-Cluster Communication Models for Clustered VLIW Processors. HPCA 2003: 354-364
42EEJari Heikkinen, Tommi Rantanen, Andrea G. M. Cilio, Jarmo Takala, Henk Corporaal: Evaluating Template-Based Instruction Compression on Transport Triggered Architectures. IWSOC 2003: 192-195
41EEPeter Vanbroekhoven, Henk Corporaal, Francky Catthoor: Advanced copy propagation for arrays. LCTES 2003: 24-33
40EETom Vander Aa, Murali Jayapala, Francisco Barat, Geert Deconinck, Rudy Lauwereins, Henk Corporaal, Francky Catthoor: Instruction Buffering Exploration for Low Energy Embedded Processors. PATMOS 2003: 409-419
39EEQin Zhao, Bart Mesman, Henk Corporaal: Limited Address Range Architecture for Reducing Code Size in Embedded Processors. SCOPES 2003: 2-16
38EEAntonis Papanikolaou, Miguel Miranda, Francky Catthoor, Henk Corporaal, Hugo De Man, David De Roest, Michele Stucchi, Karen Maex: Global interconnect trade-off for technology over memory modules to application level: case study. SLIP 2003: 125-132
2002
37EEAndrea G. M. Cilio, Henk Corporaal: Global Variable Promotion: Using Registers to Reduce Cache Power Dissipation. CC 2002: 247-260
36EEMurali Jayapala, Francisco Barat, Pieter Op de Beeck, Francky Catthoor, Geert Deconinck, Henk Corporaal: A Low Energy Clustered Instruction Memory Hierarchy for Long Instruction Word Processors. PATMOS 2002: 258-267
35EEAntonis Papanikolaou, Miguel Miranda, Francky Catthoor, Henk Corporaal, Hugo De Man, David De Roest, Michele Stucchi, Karen Maex: Interconnect exploration for future wire dominated technologies. SLIP 2002: 105-106
2001
34EEMarnix Arnold, Henk Corporaal: Designing domain-specific processors. CODES 2001: 61-66
33EEAndrea G. M. Cilio, Henk Corporaal: Code Positioning for VLIW Architectures. HPCN Europe 2001: 332-343
32EEPanu Hämäläinen, Marko Hännikäinen, Timo Hämäläinen, Henk Corporaal, Jukka Saarinen: Implementation of encryption algorithms on transport triggered architectures. ISCAS (4) 2001: 726-729
2000
31EEHenjo Schot, Henk Corporaal: Automated Design of an ASIP for Image Processing Applications (Research Note). Euro-Par 2000: 1105-1109
30EERashindra Manniesing, Ireneusz Karkowski, Henk Corporaal: Automatic SIMD Parallelization of Embedded Applications Based on Pattern Recognition. Euro-Par 2000: 349-356
29EEMarian Stanca, Stamatis Vassiliadis, Sorin Cotofana, Henk Corporaal: Hashed Addressed Caches for Embedded Pointer Based Codes (Research Note). Euro-Par 2000: 965-968
28EEAndrea G. M. Cilio, Henk Corporaal: Link-time effective whole-program optimizations. Future Generation Comp. Syst. 16(5): 503-511 (2000)
27 Henk Corporaal, Johan Janssen, Marnix Arnold: Computation in the Context of Transport Triggered Architectures. International Journal of Parallel Programming 28(4): 401-427 (2000)
1999
26 Andrea G. M. Cilio, Henk Corporaal: Floating Point to Fixed Point Conversion of C Code. CC 1999: 229-243
25 Maarten Boekhold, Ireneusz Karkowski, Henk Corporaal, Andrea G. M. Cilio: A Programmable ANSI C Transformation Engine. CC 1999: 292-295
24EEMarnix Arnold, Henk Corporaal: Automatic detection of recurring operation patterns. CODES 1999: 22-26
23 Andrea G. M. Cilio, Henk Corporaal: A Linker for effective Whole-Program Optimization. HPCN Europe 1999: 643-652
22 Maarten Boekhold, Ireneusz Karkowski, Henk Corporaal: Transformatiing and Parallelizing ANSI C Programs using Pattern Recognition. HPCN Europe 1999: 673-682
1998
21EEIreneusz Karkowski, Henk Corporaal: Design Space Exploration Algorithm for Heterogeneous Multi-Processor Embedded System Design. DAC 1998: 82-87
20EEIreneusz Karkowski, Henk Corporaal: Exploiting Fine- and Coarse-Grain Parallelism in Embedded Programs. IEEE PACT 1998: 60-67
1997
19EEWilco Van Hoogstraeten, Henk Corporaal: ADVISE: Performance Evaluation of Parallel VHDL Simulation. Annual Simulation Symposium 1997: 146-156
18 Jeroen Hordijk, Henk Corporaal: The Potential of Exploiting Coarse-Grain Task Parallelism from Sequential Programs. HPCN Europe 1997: 664-673
17 Ireneusz Karkowski, Henk Corporaal: Overcoming the Limitations of the Traditional Loop Parallelization. HPCN Europe 1997: 898-907
16EEIreneusz Karkowski, Henk Corporaal: Design of Heterogenous Multi-Processor Embedded Systems: Applying Functional Pipelining. IEEE PACT 1997: 156-165
15EEJohan Janssen, Henk Corporaal: Making Graphs Reducible with Controlled Node Splitting. ACM Trans. Program. Lang. Syst. 19(6): 1031-1052 (1997)
1996
14 Johan Janssen, Henk Corporaal: Controlled Node Splitting. CC 1996: 44-58
1995
13EEJohan Janssen, Henk Corporaal: Partitioned register file for TTAs. MICRO 1995: 303-312
1994
12 Jan Hoogerbrugge, Henk Corporaal: Transport-Triggering versus Operation-Triggering. CC 1994: 435-449
11 Henk Corporaal, Jan Hoogerbrugge: Code generation for transport triggered architectures. Code Generation for Embedded Processors 1994: 240-259
10EEArlet Ottens, Henk Corporaal, Wilco Van Hoogstraeten: A new flexible VHDL simulator. EURO-DAC 1994: 604-609
9 Eddy Olk, Henk Corporaal: Application Driven MIMD Communication Processor Design. EUROSIM 1994: 609-616
8EEJan Hoogerbrugge, Henk Corporaal: Register file port requirements of transport triggered architectures. MICRO 1994: 191-195
1993
7 J. G. E. Olk, Henk Corporaal: The OSI Model Applied to MIMD Communication Processor Design. PARCO 1993: 403-410
1992
6 Jan Hoogerbrugge, Henk Corporaal: Comparing Software Pipelining for an Operation-Triggered and a Tarnsport-Triggered Architecture. CC 1992: 219-228
1991
5 Henk Corporaal, J. G. E. Olk: A Scalable Communication Processor Design supporting Systolic Communication. EDMCC 1991: 213-223
4 Henk Corporaal: Distributed Heapmanagement using reference weights. EDMCC 1991: 325-336
3EEJan Hoogerbrugge, Henk Corporaal, Hans Mulder: Software Pipelining for Transport-Triggered Architectures. MICRO 1991: 74-81
2EEHenk Corporaal, Hans Mulder: MOVE: a framework for high-performance processor design. SC 1991: 692-701
1989
1EEA. J. van de Goor, Henk Corporaal: DOAS: an object oriented architecture supporting secure languages. MICRO 1989: 127-134

Coauthor Index

1Tom Vander Aa [40] [44] [48] [49] [50] [53] [55] [56] [65] [69]
2Wil M. P. van der Aalst [112] [115]
3Marnix Arnold [24] [27] [34]
4Prabhat Avasare [66] [83] [101]
5Francisco Barat [36] [40] [44] [48] [49] [50] [53] [55] [56]
6Andrei Bartic [60]
7Twan Basten [54] [67] [68] [70] [72] [77] [80] [86] [90] [95] [99] [114] [116]
8Pieter Op de Beeck [36]
9Luca Benini [46]
10Maarten Boekhold [22] [25]
11Koen De Bosschere (Koenraad De Bosschere) [114]
12B. Bricke [64]
13Erik Brockmeyer [45] [59] [71] [79] [89]
14Jan F. Broenink [98]
15Aarnout Brombacher [112]
16Davide Bruni [46]
17Wouter Caarls [61] [73] [78]
18Jordi Carrabina (Jordi Carrabina Bordoll) [49] [69]
19Francky Catthoor [35] [36] [38] [40] [41] [45] [46] [48] [49] [50] [53] [55] [56] [58] [59] [65] [69] [71] [79] [94] [113] [114]
20Samarjit Chakraborty [107]
21Andrea G. M. Cilio [23] [25] [26] [28] [33] [37] [42] [62]
22Sorin Cotofana (Sorin Dan Cotofana) [29]
23Alexander Danilin [109]
24P. Debacker [64]
25Geert Deconinck [36] [40] [44] [48] [49] [50] [53] [55] [56] [65] [69]
26Hendrik Eeckhaut [101]
27Lieven Eeckhout [114]
28Jos T. J. van Eijndhoven [43]
29Hamed Fatemi [70] [72] [77] [86] [104]
30Shakith Fernando [92] [102]
31Oana Florescu [51] [52] [63] [74] [75] [81]
32Raymond Frijns [104]
33Mathias Funk [103] [106] [111] [112] [115] [117]
34Manish Garg [43] [57]
35Marc Geilen [85] [90] [95] [116]
36Stefan Valentin Gheorghita [54] [67] [68] [80] [99] [114]
37José Ignacio Gómez [46]
38A. J. van de Goor [1]
39Marcel A. Groothuis [98]
40Jose de Jesus Pineda de Gyvez [91] [108]
41Yajun Ha [82] [84] [91] [92] [96] [100] [102] [108]
42Panu Hämäläinen [32]
43Timo Hämäläinen (Timo D. Hämäläinen, Timo Sippala) [32]
44Juan Hamers [114]
45Marko Hännikäinen [32]
46Andreas Hansson [93]
47Yifan He [109]
48Jari Heikkinen [42] [62]
49Rob Hoes [90] [116]
50Jan Hoogerbrugge [3] [6] [8] [11] [12]
51Wilco Van Hoogstraeten [10] [19]
52Menno de Hoon [74]
53Jeroen Hordijk [18]
54Jinfeng Huang [52] [75] [76] [85] [88] [98]
55Jos Huisken [93]
56Johan Janssen [13] [14] [15] [27]
57Murali Jayapala [36] [40] [44] [48] [49] [50] [53] [55] [56] [69] [94]
58Pieter P. Jonker (Pieter Jonker) [61] [70] [73] [77] [78]
59Evangelos Karapanos [112]
60Ireneusz Karkowski [16] [17] [20] [21] [22] [25] [30]
61Richard P. Kleihorst [70] [72] [109] [110]
62Aylin Koca [112]
63Michael Koch [110]
64Akash Kumar [82] [84] [92] [93] [96] [100] [102] [105]
65Andy Lambrechts [49] [69] [94]
66Rudy Lauwereins [40] [44] [53] [55]
67Anthony Leroy [49] [69]
68Karen Maex [35] [38]
69Stylianos Mamagkakis (Stelios Mamagkakis) [114]
70Hugo De Man [35] [38]
71Rashindra Manniesing [30]
72Paul Marchal [46]
73Théodore Marescaux [60] [64] [79] [89] [97]
74Jean-Bernard Martens [112]
75Ana Karla A. de Medeiros (Ana Karla Alves de Medeiros) [115]
76Jef L. van Meerbergen [84]
77Bingfeng Mei [49]
78Bart Mesman [39] [72] [77] [82] [84] [86] [92] [96] [100] [102] [104] [105] [109]
79Jean-Yves Mignolet [66]
80Miguel Miranda [35] [38] [45]
81Hans Mulder [2] [3]
82Vincent Nollet [60] [64] [66] [79] [83] [101]
83J. G. E. Olk (Eddy Olk) [5] [7] [9]
84Frank E. B. Ophelders [107]
85Arlet Ottens [10]
86Martin Palkovic [58] [59] [71] [113] [114]
87Antonis Papanikolaou [35] [38]
88Luis Piñuel [46]
89Yu Pu [91] [108]
90Piet van der Putten [103] [106] [111] [112] [115] [117]
91Praveen Raghavan [69] [94]
92A. Rangevall [60]
93Tommi Rantanen [42]
94Frédéric Robert [49] [69]
95David De Roest [35] [38]
96Anne Rozinat [112] [115]
97Jukka Saarinen [32]
98Henjo Schot [31]
99Ahsan Shabbir [105]
100Adelina Shickova [49]
101Marian Stanca [29]
102Michele Stucchi [35] [38]
103Sander Stuijk [67] [95]
104Jarmo Takala [42] [62]
105Guillermo Talavera [49] [69]
106Andrei Terechko [43] [47] [57] [87]
107Chen-Khong Tham [90] [116]
108Bart D. Theelen [82] [96] [100]
109Erwan Le Thenaff [43] [47]
110Peter Vanbroekhoven [41] [59] [71]
111Arnout Vandecappelle [114]
112Frederik Vandeputte [114]
113Stamatis Vassiliadis [29]
114Marcel Verhoef [81]
115Diederik Verkest [49] [66] [69] [83] [94] [101]
116Jeroen Voeten [51] [52] [63] [74] [75] [76] [81] [85] [88] [98]
117A. J. M. M. Weijters (Ton Weijters) [112]
118Wai-Leong Yeow [116]
119Chantal Ykman-Couvreur [79]
120Qin Zhao [39]
121Zoran Zivkovic [109] [110]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)