dblp.uni-trier.dewww.uni-trier.de

José Pineda de Gyvez

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
41EEAmir Zjajo, José Pineda de Gyvez: Diagnostic Analysis of Static Errors in Multi-Step Analog to Digital Converters. DATE 2008: 74-79
40EEAmir Zjajo, Shaji Krishnan, José Pineda de Gyvez: Efficient Estimation of Die-Level Process Parameter Variations via the EM-Algorithm. DDECS 2008: 287-292
39EEAmir Zjajo, José Pineda de Gyvez: Calibration and Debugging of Multi-step Analog to Digital Converters. DELTA 2008: 512-515
2007
38EEAmir Zjajo, Manuel J. Barragan Asian, José Pineda de Gyvez: Interactive presentation: BIST method for die-level process parameter variation monitoring in analog/mixed-signal integrated circuits. DATE 2007: 1301-1306
2006
37EESandeep Kumar Goel, Maurice Meijer, José Pineda de Gyvez: Testing and Diagnosis of Power Switches in SOCs. European Test Symposium 2006: 145-150
36EEAmir Zjajo, José Pineda de Gyvez, Guido Gronthoud: Structural Fault Modeling and Fault Detection Through Neyman-Pearson Decision Criteria for Analog Integrated Circuits. J. Electronic Testing 22(4-6): 399-409 (2006)
35EEJosep Rius, Maurice Meijer, José Pineda de Gyvez: An Activity Monitor for Power/Performance Tuning of CMOS Digital Circuits. J. Low Power Electronics 2(1): 80-86 (2006)
2005
34EEMaurice Meijer, Francesco Pessolano, José Pineda de Gyvez: Limits to performance spread tuning using adaptive voltage and body biasing. ISCAS (1) 2005: 5-8
33EEMaurice Meijer, Francesco Pessolano, José Pineda de Gyvez: Glitch-free discretely programmable clock generation on chip. ISCAS (2) 2005: 1839-1842
32EEMaurice Meijer, José Pineda de Gyvez, Ralph Otten: On-chip digital power supply control for system-on-chip applications. ISLPED 2005: 311-314
31EEJosep Rius, José Pineda de Gyvez, Maurice Meijer: An Activity Monitor for Power/Performance Tuning of CMOS Digital Circuits. PATMOS 2005: 187-196
30EEJosé Pineda de Gyvez, Guido Gronthoud, Rashid Amine: Multi-VDD Testing for Analog Circuits. J. Electronic Testing 21(3): 311-322 (2005)
2004
29EERohini Krishnan, José Pineda de Gyvez, Martijn T. Bennebroek: Low energy FPGA interconnect design. ACM Great Lakes Symposium on VLSI 2004: 393-396
28EEJosep Rius Vázquez, José Pineda de Gyvez: Power Supply Noise Monitor for Signal Integrity Faults. DATE 2004: 1406-1407
27EERohini Krishnan, José Pineda de Gyvez, Martijn T. Bennebroek: Low energy FPGA interconnect design. FPGA 2004: 255
26EEMaurice Meijer, Francesco Pessolano, José Pineda de Gyvez: Technology exploration for adaptive power and frequency scaling in 90nm CMOS. ISLPED 2004: 14-19
25EEAndrei Pavlov, Manoj Sachdev, José Pineda de Gyvez: AN SRAM Weak Cell Fault Model and a DFT Technique with a Programmable Detection Threshold. ITC 2004: 1006-1015
24EEJosé Pineda de Gyvez, Guido Gronthoud, Cristiano Cenci, Martin Posch, Thomas Burger, Manfred Koller: Power Supply Ramping for Quasi-static Testing of PLLs. ITC 2004: 980-987
23EERohini Krishnan, José Pineda de Gyvez: Low Energy Switch Block For FPGAs. VLSI Design 2004: 209-214
22EEJosep Rius Vázquez, José Pineda de Gyvez: Built-in Current Sensor for ?I{DDQ} Testing of Deep Submicron Digital CMOS ICs. VTS 2004: 53-58
2003
21EERohini Krishnan, José Pineda de Gyvez, Harry J. M. Veendrick: Encoded-Low Swing Technique for Ultra Low Power Interconnect. FPL 2003: 240-251
20EEJosé Pineda de Gyvez, Guido Gronthoud, Rashid Amine: VDD Ramp Testing for RF Circuits. ITC 2003: 651-658
19EEAntonio F. Mondragón-Torres, Terry Mayhugh Jr., José Pineda de Gyvez, José Silva-Martínez, Edgar Sánchez-Sinencio: An Analog Integrated Circuit Design Laboratory. MSE 2003: 91-92
18EEJosé Pineda de Gyvez, Rosa Rodríguez-Montañés: Threshold Voltage Mismatch (DeltaVT) Fault Modeling. VTS 2003: 145-150
17EEPhillip Christie, José Pineda de Gyvez: Prelayout interconnect yield prediction. IEEE Trans. VLSI Syst. 11(1): 55-59 (2003)
2002
16EERosa Rodríguez-Montañés, Paul Volf, José Pineda de Gyvez: Resistance Characterization for Weak Open Defects. IEEE Design & Test of Computers 19(5): 18-26 (2002)
2001
15EEJosé Pineda de Gyvez: Yield modeling and BEOL fundamentals. SLIP 2001: 135-163
14EEPhillip Christie, José Pineda de Gyvez: Pre-layout prediction of interconnect manufacturability. SLIP 2001: 167-173
13EEJosé Pineda de Gyvez, Eric van de Wetering: Average Leakage Current Estimation of CMOS Logic Circuits. VTS 2001: 375-379
2000
12EEMadhuban Kishor, José Pineda de Gyvez: Threshold Voltage and Power-Supply Tolerance of CMOS Logic Design Families. DFT 2000: 349-357
1999
11EEO. A. Gonzalez, Gunhee Han, José Pineda de Gyvez, Edgar Sánchez-Sinencio: CMOS cryptosystem using a Lorenz chaotic oscillator. ISCAS (5) 1999: 442-445
10EEA. Dornbusch, José Pineda de Gyvez: Chaotic generation of PN sequences: a VLSI implementation. ISCAS (5) 1999: 454-457
1998
9EELei Wang, José Pineda de Gyvez, Edgar Sánchez-Sinencio: Time multiplexed color image processing based on a CNN with cell-state outputs. IEEE Trans. VLSI Syst. 6(2): 314-322 (1998)
1996
8EEApollo Q. Fong, Ajay Kanji, José Pineda de Gyvez: Time-Multiplexing Scheme for Cellular Neural Networks Based Image Processing. Real-Time Imaging 2(4): 231-239 (1996)
1995
7 Apollo Q. Fong, Ajay Kanji, Edgar Sánchez-Sinencio, José Pineda de Gyvez: A Universal Interface Between PC and Neural Networks Hardware. ISCAS 1995: 1169-1172
6 Oscar Moreira-Tamayo, José Pineda de Gyvez: Time Domain Analog Wavelet Transform in Real-Time. ISCAS 1995: 1640-1643
1994
5 Chi-Chien Lee, José Pineda de Gyvez: Single-Layer CNN Simulator. ISCAS 1994: 217-220
4 John Willis, José Pineda de Gyvez: Behavioral Testing of Cellular Neural Networks. ISCAS 1994: 229-232
3 Chi-Chien Lee, José Pineda de Gyvez: Time-Mulitplexing CNN Simulator. ISCAS 1994: 407-410
1992
2EEJosé Pineda de Gyvez, Chennian Di: IC defect sensitivity for footprint-type spot defects. IEEE Trans. on CAD of Integrated Circuits and Systems 11(5): 638-658 (1992)
1989
1EEJosé Pineda de Gyvez, Jochen A. G. Jess: On the design and implementation of a wafer yield editor. IEEE Trans. on CAD of Integrated Circuits and Systems 8(8): 920-925 (1989)

Coauthor Index

1Rashid Amine [20] [30]
2Manuel J. Barragan Asian [38]
3Martijn T. Bennebroek [27] [29]
4Thomas Burger [24]
5Cristiano Cenci [24]
6Phillip Christie [14] [17]
7Chennian Di [2]
8A. Dornbusch [10]
9Apollo Q. Fong [7] [8]
10Sandeep Kumar Goel [37]
11O. A. Gonzalez [11]
12Guido Gronthoud [20] [24] [30] [36]
13Gunhee Han [11]
14Jochen A. G. Jess [1]
15Ajay Kanji [7] [8]
16Madhuban Kishor [12]
17Manfred Koller [24]
18Rohini Krishnan [21] [23] [27] [29]
19Shaji Krishnan [40]
20Chi-Chien Lee [3] [5]
21Terry Mayhugh Jr. [19]
22Maurice Meijer [26] [31] [32] [33] [34] [35] [37]
23Antonio F. Mondragón-Torres [19]
24Oscar Moreira-Tamayo [6]
25Ralph Otten [32]
26Andrei Pavlov [25]
27Francesco Pessolano [26] [33] [34]
28Martin Posch [24]
29Josep Rius [31] [35]
30Rosa Rodríguez-Montañés [16] [18]
31Manoj Sachdev [25]
32Edgar Sánchez-Sinencio [7] [9] [11] [19]
33José Silva-Martínez [19]
34Josep Rius Vázquez [22] [28]
35Harry J. M. Veendrick [21]
36Paul Volf [16]
37Lei Wang [9]
38Eric van de Wetering [13]
39John Willis [4]
40Amir Zjajo [36] [38] [39] [40] [41]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)