dblp.uni-trier.dewww.uni-trier.de

Diederik Verkest

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2009
84EEPraveen Raghavan, Andy Lambrechts, Murali Jayapala, Francky Catthoor, Diederik Verkest: Distributed Loop Controller for Multithreading in Unithreaded ILP Architectures. IEEE Trans. Computers 58(3): 311-321 (2009)
2008
83EEPraveen Raghavan, Andy Lambrechts, Javed Absar, Murali Jayapala, Francky Catthoor, Diederik Verkest: Coffee: COmpiler Framework for Energy-Aware Exploration. HiPEAC 2008: 193-208
82EEMladen Berekovic, Frank Bouwens, Tom Vander Aa, Diederik Verkest: Interconnect Power Analysis for a Coarse-Grained Reconfigurable Array Processor. PATMOS 2008: 449-457
81EEAndy Lambrechts, Praveen Raghavan, Murali Jayapala, Francky Catthoor, Diederik Verkest: Energy-Aware Interconnect Optimization for a Coarse Grained Reconfigurable Processor. VLSI Design 2008: 201-207
80EEAnthony Leroy, Dragomir Milojevic, Diederik Verkest, Frédéric Robert, Francky Catthoor: Concepts and Implementation of Spatial Division Multiplexing for Guaranteed Throughput in Networks-on-Chip. IEEE Trans. Computers 57(9): 1182-1195 (2008)
79EEVincent Nollet, Prabhat Avasare, Hendrik Eeckhaut, Diederik Verkest, Henk Corporaal: Run-Time Management of a MPSoC Containing FPGA Fabric Tiles. IEEE Trans. VLSI Syst. 16(1): 24-33 (2008)
78EEDavid Atienza, Praveen Raghavan, José Luis Ayala, Giovanni De Micheli, Francky Catthoor, Diederik Verkest, Marisa López-Vallejo: Joint hardware-software leakage minimization approach for the register file of VLIW embedded architectures. Integration 41(1): 38-48 (2008)
2007
77EEPraveen Raghavan, Satyakiran Munaga, Estela Rey Ramos, Andy Lambrechts, Murali Jayapala, Francky Catthoor, Diederik Verkest: A Customized Cross-Bar for Data-Shuffling in Domain-Specific SIMD Processors. ARCS 2007: 57-68
76EEPraveen Raghavan, Andy Lambrechts, Murali Jayapala, Francky Catthoor, Diederik Verkest, Henk Corporaal: Very wide register: an asymmetric register file organization for low power embedded processors. DATE 2007: 1066-1071
75EEV. Ferentinos, Bert Geelen, Francky Catthoor, Gauthier Lafruit, Thanos Stouraitis, Rudy Lauwereins, Diederik Verkest: Adaptive mapping to resource availability for dynamic wavelet-based applications. ESTImedia 2007: 53-58
74EEPraveen Raghavan, Nandhavel Sethubalasubramanian, Satyakiran Munaga, Estela Rey Ramos, Murali Jayapala, Oliver Weiss, Francky Catthoor, Diederik Verkest: Semi Custom Design: A Case Study on SIMD Shufflers. PATMOS 2007: 433-442
73EEJosé L. Ayala, Marisa López-Vallejo, David Atienza, Praveen Raghavan, Francky Catthoor, Diederik Verkest: Energy-aware compilation and hardware design for VLIW embedded systems. IJES 3(1/2): 73-82 (2007)
2006
72EEPraveen Raghavan, Andy Lambrechts, Murali Jayapala, Francky Catthoor, Diederik Verkest: Distributed loop controller architecture for multi-threading in uni-threaded VLIW processors. DATE 2006: 339-344
71 Vincent Nollet, Prabhat Avasare, Diederik Verkest, Henk Corporaal: Exploiting Hierarchical Configuration to Improve Run-Time MPSoC Task Assignment. ERSA 2006: 49-55
70EEDavid Atienza, Praveen Raghavan, José L. Ayala, Giovanni De Micheli, Francky Catthoor, Diederik Verkest, Marisa López-Vallejo: Compiler-Driven Leakage Energy Reduction in Banked Register Files. PATMOS 2006: 107-116
69EEDaniele Paolo Scarpazza, Praveen Raghavan, David Novo, Francky Catthoor, Diederik Verkest: Software Simultaneous Multi-Threading, a Technique to Exploit Task-Level Parallelism to Improve Instruction- and Data-Level Parallelism. PATMOS 2006: 12-23
2005
68 Vassilis Paliouras, Johan Vounckx, Diederik Verkest: Integrated Circuit and System Design, Power and Timing Modeling, Optimization and Simulation, 15th International Workshop, PATMOS 2005, Leuven, Belgium, September 21-23, 2005, Proceedings Springer 2005
67EEAndy Lambrechts, Praveen Raghavan, Anthony Leroy, Guillermo Talavera, Tom Vander Aa, Murali Jayapala, Francky Catthoor, Diederik Verkest, Geert Deconinck, Henk Corporaal, Frédéric Robert, Jordi Carrabina: Power Breakdown Analysis for a Heterogeneous NoC Platform Running a Video Application. ASAP 2005: 179-184
66EEAnthony Leroy, Paul Marchal, Adelina Shickova, Francky Catthoor, Frédéric Robert, Diederik Verkest: Spatial division multiplexing: a novel approach for guaranteed throughput on NoCs. CODES+ISSS 2005: 81-86
65EEVincent Nollet, Prabhat Avasare, Jean-Yves Mignolet, Diederik Verkest: Low Cost Task Migration Initiation in a Heterogeneous MP-SoC. DATE 2005: 252-253
64EEPrabhat Avasare, Vincent Nollet, Jean-Yves Mignolet, Diederik Verkest, Henk Corporaal: Centralized end-to-end flow control in a best-effort network-on-chip. EMSOFT 2005: 17-20
63EERichard Stahl, Francky Catthoor, Diederik Verkest: Object-Distribution Analysis: Technique for Parallel Loop Distribution of Object-Oriented Programs. ICPP Workshops 2005: 153-160
62EERichard Stahl, Francky Catthoor, Diederik Verkest: Object-Distribution Analysis for Program Decomposition and Re-Clustering. IPDPS 2005
61EEBert Geelen, Gauthier Lafruit, V. Ferentinos, Rudy Lauwereins, Diederik Verkest: Memory Hierarchy Energy Cost of a Direct Filtering Implementation of the Wavelet Transform. PATMOS 2005: 107-116
60EEBingfeng Mei, Andy Lambrechts, Diederik Verkest, Jean-Yves Mignolet, Rudy Lauwereins: Architecture Exploration for a Reconfigurable Architecture Template. IEEE Design & Test of Computers 22(2): 90-101 (2005)
59EEJavier Resano, Daniel Mozos, Diederik Verkest, Francky Catthoor: A Reconfiguration Manager for Dynamically Reconfigurable Hardware. IEEE Design & Test of Computers 22(5): 452-460 (2005)
2004
58EEVincent Nollet, Théodore Marescaux, Diederik Verkest, Jean-Yves Mignolet, Serge Vernalde: Operating-system controlled network on chip. DAC 2004: 256-259
57EEBingfeng Mei, Serge Vernalde, Diederik Verkest, Rudy Lauwereins: Design Methodology for a Tightly Coupled VLIW/Reconfigurable Matrix Architecture: A Case Study. DATE 2004: 1224-1229
56EERichard Stahl, Francky Catthoor, Rudy Lauwereins, Diederik Verkest: Design-Time Data-Access Analysis for Parallel Java Programs with Shared-Memory Communication Model. Euro-Par 2004: 206-213
55EEAndrei Bartic, Dirk Desmet, Jean-Yves Mignolet, Théodore Marescaux, Diederik Verkest, Serge Vernalde, Rudy Lauwereins, J. Miller, Frédéric Robert: Network-on-Chip for Reconfigurable Systems: From High-Level Design Down to Implementation. FPL 2004: 637-647
54EERichard Stahl, Robert Pasko, Francky Catthoor, Rudy Lauwereins, Diederik Verkest: High-Level Data-Access Analysis for Characterisation of (Sub)task-Level Parallelism in Java. HIPS 2004: 31-40
53EERichard Stahl, Robert Pasko, Francky Catthoor, Rudy Lauwereins, Diederik Verkest: High-Level Data-Access Analysis for Characterisation of (Sub)task-Level Parallelism in Java. IPDPS 2004: 31-40
52EEAndy Lambrechts, Tom Vander Aa, Murali Jayapala, Guillermo Talavera, Anthony Leroy, Adelina Shickova, Francisco Barat, Bingfeng Mei, Francky Catthoor, Diederik Verkest, Geert Deconinck, Henk Corporaal, Frédéric Robert, Jordi Carrabina Bordoll: Design Style Case Study for Embedded Multi Media Compute Nodes. RTSS 2004: 104-113
51EEThéodore Marescaux, Vincent Nollet, Jean-Yves Mignolet, Andrei Bartic, W. Moffat, Prabhat Avasare, Paul Coene, Diederik Verkest, Serge Vernalde, Rudy Lauwereins: Run-time support for heterogeneous multitasking on reconfigurable SoCs. Integration 38(1): 107-130 (2004)
50EEJavier Resano, Diederik Verkest, Daniel Mozos, Serge Vernalde, Francky Catthoor: A hybrid design-time/run-time scheduling flow to minimise the reconfiguration overhead of FPGAs. Microprocessors and Microsystems 28(5-6): 291-301 (2004)
2003
49EEBingfeng Mei, Serge Vernalde, Diederik Verkest, Hugo De Man, Rudy Lauwereins: Exploiting Loop-Level Parallelism on Coarse-Grained Reconfigurable Architectures Using Modulo Scheduling. DATE 2003: 10296-10301
48EEJean-Yves Mignolet, Vincent Nollet, Paul Coene, Diederik Verkest, Serge Vernalde, Rudy Lauwereins: Infrastructure for Design and Management of Relocatable Tasks in a Heterogeneous Reconfigurable System-on-Chip. DATE 2003: 10986-10993
47 Javier Resano, Diederik Verkest, Daniel Mozos, Serge Vernalde, Francky Catthoor: Run-Time Scheduling for Multimedia Applications on Dynamically Reconfigurable Systems. ESTImedia 2003: 156-162
46 Vincent Nollet, Jean-Yves Mignolet, Andrei Bartic, Diederik Verkest, Serge Vernalde, Rudy Lauwereins: Hierarchical Run-Time Reconfiguration Managed by an Operating System for Reconfigurable Systems. Engineering of Reconfigurable Systems and Algorithms 2003: 81-87
45EEJavier Resano, Diederik Verkest, Daniel Mozos, Serge Vernalde, Francky Catthoor: Application of Task Concurrency Management on Dynamically Reconfigurable Hardware Platforms. FCCM 2003: 278-279
44EEJavier Resano, Daniel Mozos, Diederik Verkest, Serge Vernalde, Francky Catthoor: Run-Time Minimization of Reconfiguration Overhead in Dynamically Reconfigurable Systems. FPL 2003: 585-594
43EEThéodore Marescaux, Jean-Yves Mignolet, Andrei Bartic, W. Moffat, Diederik Verkest, Serge Vernalde, Rudy Lauwereins: Networks on Chip as Hardware Components of an OS for Reconfigurable Systems. FPL 2003: 595-605
42EEBingfeng Mei, Serge Vernalde, Diederik Verkest, Hugo De Man, Rudy Lauwereins: ADRES: An Architecture with Tightly Coupled VLIW Processor and Coarse-Grained Reconfigurable Matrix. FPL 2003: 61-70
41EEVincent Nollet, Paul Coene, Diederik Verkest, Serge Vernalde, Rudy Lauwereins: Designing an Operating System for a Heterogeneous Reconfigurable So. IPDPS 2003: 174
40EERichard Stahl, Robert Pasko, Luc Rijnders, Diederik Verkest, Serge Vernalde, Rudy Lauwereins, Francky Catthoor: Performance Analysis for Identification of (Sub-)Task-Level Parallelism in Java. SCOPES 2003: 313-328
39EEFrederik Vermeulen, Francky Catthoor, Lode Nachtergaele, Diederik Verkest, Hugo De Man: Power-efficient flexible processor architecture for embedded applications. IEEE Trans. VLSI Syst. 11(3): 376-385 (2003)
38EETheofanis Orphanoudakis, Stylianos Perissakis, Kostas Pramataris, Nikos A. Nikolaou, Nicholas Zervos, Matthias Steck, Christoph Baumhof, Diederik Verkest, Chantal Ykman-Couvreur, Gregory Doumenis, Fotis Karoubalis, Ioanna Theologitou, Dionisios I. Reisis, George E. Konstantoulakis, Nikos Vogiatzis: Hardware Architectures for the Efficient Implementation of Multi-Service Broadband Access and Multimedia Home Networks. Telecommunication Systems 23(3-4): 351-367 (2003)
2002
37EEChantal Ykman-Couvreur, J. Lambrecht, Diederik Verkest, Francky Catthoor, Aristides Nikologiannis, George E. Konstantoulakis: System-level performance optimization of the data queueing memory management in high-speed network processors. DAC 2002: 518-523
36EESteve Guccione, Diederik Verkest, Ivo Bolsens: Design Technology for Networked Reconfigurable FPGA Platforms. DATE 2002: 994-999
35EEDirk Desmet, Prabhat Avasare, Paul Coene, Stijn Decneut, Filip Hendrickx, Théodore Marescaux, Jean-Yves Mignolet, Robert Pasko, Patrick Schaumont, Diederik Verkest: Design of Cam-E-leon, a Run-Time Reconfigurable Web Camera. Embedded Processor Design Challenges 2002: 274-290
34EEYajun Ha, Radovan Hipik, Serge Vernalde, Diederik Verkest, Marc Engels, Rudy Lauwereins, Hugo De Man: Adding Hardware Support to the HotSpot Virtual Machine for Domain Specific Applications. FPL 2002: 1135-1138
33EEThéodore Marescaux, Andrei Bartic, Diederik Verkest, Serge Vernalde, Rudy Lauwereins: Interconnection Networks Enable Fine-Grain Dynamic Multi-tasking on FPGAs. FPL 2002: 795-805
32EEChantal Ykman-Couvreur, J. Lambrecht, Diederik Verkest, Francky Catthoor, Bengt Svantesson, Ahmed Hemani, F. Wolf: Dynamic memory management methodology applied to embedded telecom network systems. IEEE Trans. VLSI Syst. 10(5): 650-667 (2002)
2001
31EEChun Wong, Paul Marchal, Peng Yang, Francky Catthoor, Hugo De Man, Aggeliki S. Prayati, Nathalie Cossement, Rudy Lauwereins, Diederik Verkest: Task concurrency management methodology summary. DATE 2001: 813
30EEDiederik Verkest, Peng Yang, Chun Wong, Paul Marchal: Optimisation Problems for Dynamic Concurrent Task-Based Systems. ICCAD 2001: 265-
29 Miguel Miranda, C. Ghez, Chidamber Kulkarni, Francky Catthoor, Diederik Verkest: Systematic speed-power memory data-layout exploration for cache controlled embedded multimedia applications. ISSS 2001: 107-112
28 Tycho van Meeuwen, Arnout Vandecappelle, Allert van Zelst, Francky Catthoor, Diederik Verkest: System-level interconnect architecture exploration for custom memory organizations. ISSS 2001: 13-18
27EEPeng Yang, Chun Wong, Paul Marchal, Francky Catthoor, Dirk Desmet, Diederik Verkest, Rudy Lauwereins: Energy-Aware Runtime Scheduling for Embedded-Multiprocessor SOCs. IEEE Design & Test of Computers 18(5): 46-58 (2001)
2000
26EEPeng Yang, Dirk Desmet, Francky Catthoor, Diederik Verkest: Dynamic scheduling of concurrent tasks with cost performance trade-off. CASES 2000: 103-109
25EEFrederik Vermeulen, Francky Catthoor, Diederik Verkest, Hugo De Man: Extended design reuse trade-offs in hardware-software architecture mapping. CODES 2000: 103-107
24EEDirk Desmet, Diederik Verkest, Hugo De Man: Operating system based software generation for systems-on-chip. DAC 2000: 396-401
23EEDiederik Verkest, Joachim Kunkel, Frank Schirrmeister: System Level Design Using C++. DATE 2000: 74-
22EEFrederik Vermeulen, Francky Catthoor, Hugo De Man, Diederik Verkest: Formalized Three-Layer System-Level Reuse Model and Methodology for Embedded Data-Dominated Applications. DATE 2000: 92-98
21EEAggeliki S. Prayati, Chun Wong, Paul Marchal, Nathalie Cossement, Francky Catthoor, Rudy Lauwereins, Diederik Verkest, Hugo De Man, Alexios N. Birbas: Task Concurrency Management Experiment for Power-Efficient Speed-up of Embedded MPEG4 IM1 Player. ICPP Workshops 2000: 453-460
20EEFrederik Vermeulen, Lode Nachtergaele, Francky Catthoor, Diederik Verkest, Hugo De Man: Flexible hardware acceleration for multimedia oriented microprocessors. MICRO 2000: 171-177
19EEPaul Marchal, Chun Wong, Aggeliki S. Prayati, Nathalie Cossement, Francky Catthoor, Rudy Lauwereins, Diederik Verkest, Hugo De Man: Dynamic Memory Oriented Transformations in the MPEG4 IM1-Player on a Low Power Platform. PACS 2000: 40-50
18EEFrederik Vermeulen, Francky Catthoor, Diederik Verkest, Hugo De Man: Formalized three-layer system-level model and reuse methodology for embedded data-dominated applications. IEEE Trans. VLSI Syst. 8(2): 207-216 (2000)
1999
17EEDirk Desmet, Michiel Esvelt, Prabhat Avasare, Diederik Verkest, Hugo De Man: Timed executable system specification of an ADSL modem using a C++ based design environment: a case study. CODES 1999: 38-42
16EEArnout Vandecappelle, Miguel Miranda, Erik Brockmeyer, Francky Catthoor, Diederik Verkest: Global Multimedia System Design Exploration Using Accurate Memory Organization Feedback. DAC 1999: 327-332
15EESteven Vercauteren, Jan van der Steen, Diederik Verkest: Combining Software Synthesis and Hardware/Software Interface Generation to Meet Hard Real-Time Constraints. DATE 1999: 556-561
14EEChantal Ykman-Couvreur, J. Lambrecht, Diederik Verkest, Francky Catthoor, Hugo De Man: Exploration and Synthesis of Dynamic Data Sets in Telecom Network Applications. ISSS 1999: 85-93
13EEGaetano Borriello, Diederik Verkest, Francky Catthoor: Guest Editorial. IEEE Trans. on CAD of Integrated Circuits and Systems 18(1): 1-2 (1999)
12EEDiederik Verkest, Julio Leao da Silva Jr., Chantal Ykman-Couvreur, Kris Croes, Miguel Miranda, Sven Wuytack, Francky Catthoor, Gjalt G. de Jong, Hugo De Man: Matisse: A System-on-Chip Design Methodology Emphasizing Dynamic Memory Management. VLSI Signal Processing 21(3): 185-194 (1999)
1998
11EEJulio Leao da Silva Jr., Chantal Ykman-Couvreur, Miguel Miranda, Kris Croes, Sven Wuytack, Gjalt G. de Jong, Francky Catthoor, Diederik Verkest, Paul Six, Hugo De Man: Efficient System Exploration and Synthesis of Applications with Dynamic Data Storage and Intensive Data Transfer. DAC 1998: 76-81
10EESteven Vercauteren, Diederik Verkest, Gjalt G. de Jong, Bill Lin: Efficient Verification using Generalized Partial Order Analysis. DATE 1998: 782-789
9EEJulio Leao da Silva Jr., Francky Catthoor, Diederik Verkest, Hugo De Man: Power exploration for dynamic data types through virtual memory management refinement. ISLPED 1998: 311-316
8EEFrancky Catthoor, Diederik Verkest, Erik Brockmeyer: Proposal for Unified System Design Meta Flow in Task-Level and Instruction-Level Design Technology Research for Multi-Media Applications. ISSS 1998: 89-95
1997
7EESteven Vercauteren, Diederik Verkest, Gjalt G. de Jong, Bill Lin: Derivation of Formal Representations from Process-Based Specification and Implementation Models. ISSS 1997: 16-
1994
6 Diederik Verkest, Luc J. M. Claesen, Hugo De Man: A Proof of the Nonrestoring Division Algorithm and its Implementation on an ALU. Formal Methods in System Design 4(1): 5-31 (1994)
1993
5 Catia M. Angelo, Diederik Verkest, Luc J. M. Claesen, Hugo De Man: On the Comparison of HOL and Boyer-Moore for Formal Hardware Verification. Formal Methods in System Design 2(1): 45-72 (1993)
1992
4 Diederik Verkest, Luc J. M. Claesen, Hugo De Man: A Proof of the Non-Restoring Division Algorithm and its Implementation on the Cathedral-II ALU. Designing Correct Circuits 1992: 173-192
3 Diederik Verkest, J. Vandenbergh, Luc J. M. Claesen, Hugo De Man: A Description Methodology for Parameterized Modules in the Boyer-Moore Logic. TPCD 1992: 37-57
1991
2 Catia M. Angelo, Diederik Verkest, Luc J. M. Claesen, Hugo De Man: Formal Hardware Verification in HOL and in Boyer-Moore: A Comparative Analysis. TPHOLs 1991: 340-347
1990
1EEDiederik Verkest, Luc J. M. Claesen, Hugo De Man: Correctness proofs of parameterized hardware modules in the CATHEDRAL-II synthesis environment. EURO-DAC 1990: 62-66

Coauthor Index

1Tom Vander Aa [52] [67] [82]
2Javed Absar (Mohammed Javed Absar) [83]
3Catia M. Angelo [2] [5]
4David Atienza [70] [73] [78]
5Prabhat Avasare [17] [35] [51] [64] [65] [71] [79]
6José Luis Ayala (José L. Ayala) [70] [73] [78]
7Francisco Barat [52]
8Andrei Bartic [33] [43] [46] [51] [55]
9Christoph Baumhof [38]
10Mladen Berekovic [82]
11Alexios N. Birbas [21]
12Ivo Bolsens [36]
13Gaetano Borriello [13]
14Frank Bouwens [82]
15Erik Brockmeyer [8] [16]
16Jordi Carrabina (Jordi Carrabina Bordoll) [52] [67]
17Francky Catthoor [8] [9] [11] [12] [13] [14] [16] [18] [19] [20] [21] [22] [25] [26] [27] [28] [29] [31] [32] [37] [39] [40] [44] [45] [47] [50] [52] [53] [54] [56] [59] [62] [63] [66] [67] [69] [70] [72] [73] [74] [75] [76] [77] [78] [80] [81] [83] [84]
18Luc J. M. Claesen [1] [2] [3] [4] [5] [6]
19Paul Coene [35] [41] [48] [51]
20Henk Corporaal [52] [64] [67] [71] [76] [79]
21Nathalie Cossement [19] [21] [31]
22Kris Croes [11] [12]
23Stijn Decneut [35]
24Geert Deconinck [52] [67]
25Dirk Desmet [17] [24] [26] [27] [35] [55]
26Gregory Doumenis [38]
27Hendrik Eeckhaut [79]
28Marc Engels [34]
29Michiel Esvelt [17]
30V. Ferentinos [61] [75]
31Bert Geelen [61] [75]
32C. Ghez [29]
33Steven A. Guccione (Steve Guccione) [36]
34Yajun Ha [34]
35Ahmed Hemani [32]
36Filip Hendrickx [35]
37Radovan Hipik [34]
38Murali Jayapala [52] [67] [72] [74] [76] [77] [81] [83] [84]
39Gjalt G. de Jong [7] [10] [11] [12]
40Fotis Karoubalis [38]
41George E. Konstantoulakis [37] [38]
42Chidamber Kulkarni [29]
43Joachim Kunkel [23]
44Gauthier Lafruit [61] [75]
45J. Lambrecht [14] [32] [37]
46Andy Lambrechts [52] [60] [67] [72] [76] [77] [81] [83] [84]
47Rudy Lauwereins [19] [21] [27] [31] [33] [34] [40] [41] [42] [43] [46] [48] [49] [51] [53] [54] [55] [56] [57] [60] [61] [75]
48Anthony Leroy [52] [66] [67] [80]
49Bill Lin [7] [10]
50Marisa López-Vallejo [70] [73] [78]
51Hugo De Man [1] [2] [3] [4] [5] [6] [9] [11] [12] [14] [17] [18] [19] [20] [21] [22] [24] [25] [31] [34] [39] [42] [49]
52Paul Marchal [19] [21] [27] [30] [31] [66]
53Théodore Marescaux [33] [35] [43] [51] [55] [58]
54Tycho van Meeuwen [28]
55Bingfeng Mei [42] [49] [52] [57] [60]
56Giovanni De Micheli [70] [78]
57Jean-Yves Mignolet [35] [43] [46] [48] [51] [55] [58] [60] [64] [65]
58J. Miller [55]
59Dragomir Milojevic [80]
60Miguel Miranda [11] [12] [16] [29]
61W. Moffat [43] [51]
62Daniel Mozos [44] [45] [47] [50] [59]
63Satyakiran Munaga [74] [77]
64Lode Nachtergaele [20] [39]
65Nikos A. Nikolaou [38]
66Aristides Nikologiannis [37]
67Vincent Nollet [41] [46] [48] [51] [58] [64] [65] [71] [79]
68David Novo [69]
69Theofanis Orphanoudakis [38]
70Vassilis Paliouras [68]
71Robert Pasko [35] [40] [53] [54]
72Stylianos Perissakis [38]
73Kostas Pramataris [38]
74Aggeliki S. Prayati [19] [21] [31]
75Praveen Raghavan [67] [69] [70] [72] [73] [74] [76] [77] [78] [81] [83] [84]
76Estela Rey Ramos [74] [77]
77Dionisios I. Reisis [38]
78Javier Resano [44] [45] [47] [50] [59]
79Luc Rijnders [40]
80Frédéric Robert [52] [55] [66] [67] [80]
81Daniele Paolo Scarpazza [69]
82Patrick Schaumont [35]
83Frank Schirrmeister [23]
84Nandhavel Sethubalasubramanian [74]
85Adelina Shickova [52] [66]
86Julio Leao da Silva Jr. [9] [11] [12]
87Paul Six [11]
88Richard Stahl [40] [53] [54] [56] [62] [63]
89Matthias Steck [38]
90Jan van der Steen [15]
91Thanos Stouraitis [75]
92Bengt Svantesson [32]
93Guillermo Talavera [52] [67]
94Ioanna Theologitou [38]
95Arnout Vandecappelle [16] [28]
96J. Vandenbergh [3]
97Steven Vercauteren [7] [10] [15]
98Frederik Vermeulen [18] [20] [22] [25] [39]
99Serge Vernalde [33] [34] [40] [41] [42] [43] [44] [45] [46] [47] [48] [49] [50] [51] [55] [57] [58]
100Nikos Vogiatzis [38]
101Johan Vounckx [68]
102Oliver Weiss [74]
103F. Wolf [32]
104Chun Wong [19] [21] [27] [30] [31]
105Sven Wuytack [11] [12]
106Peng Yang [26] [27] [30] [31]
107Chantal Ykman-Couvreur [11] [12] [14] [32] [37] [38]
108Allert van Zelst [28]
109Nicholaos Zervos (Nicholas Zervos) [38]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)